首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 78 毫秒
1.
Hydrogen annealing at 700-1100°C for 0-300 s has been combined with SiO2 formation by rapid thermal processing (RTP). The SiO2 films formed with the above processes were evaluated by C-V and I-V measurements and by time-dependent dielectric breakdown (TDDB) tests. These films provide longer time to breakdown andless positive charge generation than SiO2 films formed without H2 annealing. In particular, the SiO2 formation-H2 annealing SiO 2 formation process is quite effective in improving the dielectric strength of the thin RTP-SiO2 film  相似文献   

2.
Spatial Distributions of Trapping Centers in HfO2/SiO2 Gate Stack   总被引:1,自引:0,他引:1  
An analysis methodology for charge pumping (CP) measurements was developed and applied to extract spatial distributions of traps in SiO 2/HfO2 gate stacks. This analysis indicates that the traps accessible by CP measurements in the frequency range down to a few kilohertz are located primarily within the SiO2 layer and HfO2/SiO2 interface region. The trap density in the SiO2 layer increases closer to the high-kappa dielectric, while the trap spatial profile as a function of the distance from the high-kappa film was found to be dependent on high-kappa film characteristics. These results point to interactions with the high-kappa dielectric as a cause of trap generation in the interfacial SiO2 layer  相似文献   

3.
A capacitor technology developed to obtain extremely thin Ta2 O5 dielectric film with an effective SiO2 film thickness down to 3 nm (equivalent to 11 fF/μm2) for a 1.5-V, low-power, high-density, 64-Mb DRAM is discussed. The Ta2 O5 has low leakage current, low defect density, and excellent step coverage. The key process is two-step annealing after the deposition of the film by thermal chemical vapor deposition (CVD). The first step involves ozone (O3) annealing with ultraviolet light irradiation, which reduces the leakage current. The second step is dry oxygen (O2) annealing, which decreases the defect density. A more significant reduction in the leakage current is attained by the combination of the two annealing steps  相似文献   

4.
A reliable method of forming very thin SiO2 films (<10 nm) has been developed by rapid thermal processing (RTP) in which in situ multiple RTP sequences have been employed. Sub-10-nm-thick SiO2 films formed by single-step RTP oxidation (RTO) are superior to conventional furnace-grown SiO2 on the SiO2 /Si interface characteristics, dielectric strength, and time-dependent dielectric-breakdown (TDDB) characteristics. It has been confirmed that the reliability of SiO2 film can be improved by pre-oxidation RTP cleaning (RTC) operated at 700-900°C for 20-60 s in a 1%HCl/Ar or H2 ambient. The authors discuss the dielectric reliability of the SiO2 films formed by single-step RTO in comparison with conventional furnace-grown SiO2 films. The effects and optimum conditions of RTC prior to RTO on the TDDB characteristics are demonstrated. The dielectric properties of nitrided SiO2 films formed via the N2O-oxynitridation process are described  相似文献   

5.
The first application of a new technique (SiH4+O2 at 83-330°C and 2-12 torr) for deposition of SiO2 on InP is reported. SiO2 deposited at 150-330°C has breakdown strength of 8-10 MV/cm, resistivity >1015 Ωcm, and refractive index of 1.45-1.46 comparable to thermal SiO 2 grown at 1100°C. C/V measurements on Al/SiO2/InP MIS structures suggest that very low temperature oxides (90-100°C) have the best interfacial properties  相似文献   

6.
Zirkon™ LK2000 version 1 dielectric film (Zirkon™ is a trademark of Shipley Company L.L.C), a porous methylsilsesquioxane (MSQ)-based spin-on dielectric with a k value targeted at 2.0, has been integrated in single damascene structures. For patterning, a dual SiC/SiO2 CVD hard-mask was used. Surface treatments (DUV ozone (DUV-O3), plasma treatments) were tested to solve the adhesion issues encountered at the CVD hard-mask and the low-k interface. Adhesion is only improved when plasma treatments are used. Analyses (FTIR, TDS, nano-indentation) show that the plasma treatments only modify the low-k surface. For integration, a plasma treatment (He, NH3, N2/O2) prior to deposition of the CVD hard-mask was included. After patterning, copper metallization and CMP of the wafers, electrical evaluation shows that, compared to the reference wafer (no plasma treatment), plasma-treated wafers have a higher yield and a lower sheet resistance. The RC delay is slightly higher for the plasma-treated wafers than for the reference wafer.  相似文献   

7.
A technique for SiO2 formation by liquid-phase deposition (LPD) at nearly room temperature for low-temperature processed (LTP) polysilicon thin-film transistor (poly-Si TFT) was developed. LPD SiO2 film with a lower P-etch rate shows a dense structure. LPD SiO2 also exhibits good electrical characteristics. LTP poly-Si thin-film transistors (TFTs) with LPD SiO 2 as the gate insulator have been fabricated and investigated. Their characteristics indicate performance adequate for their use as pixel transistors in liquid crystal displays (LCDs)  相似文献   

8.
The electrical characteristics of a novel HfTaON/SiO2 gate stack, which consists of a HfTaON film with a dielectric constant of 23 and a 10-Aring SiO2 interfacial layer, have been investigated for advanced CMOS applications. The HfTaON/SiO2 gate stack provided much lower gate leakage current against SiO2 , good interface properties, excellent transistor characteristics, and superior carrier mobility. Compared to HfON/SiO2, improved thermal stability was also observed in the HfTaON/SiO2 gate stack. Moreover, charge-trapping-induced threshold voltage V th instability was examined for the HfTaON/SiO2 and HfON/SiO2 gate stacks. The HfTaON/SiO2 gate stack exhibited significant suppression of the Vth instability compared to the HfON/SiO2, in particular, for nMOSFETs. The excellent performances observed in the HfTaON/SiO2 gate stack indicate that it has the potential to replace conventional SiO2 or SiON as gate dielectric for advanced CMOS applications  相似文献   

9.
A challenge to integrate Cu in device interconnections is to avoid Cu diffusion into silicon active zone that could seriously damage device performance, and into interlevel dielectric that could induce shorts or degrade dielectric performance. This paper relates the integration of Cu-CVD with SiO2. Structures studied are SiO2 deposited on Cu-CVD, and SiO2/SiN/Cu structure: a thin SiN layer is deposited on Cu before SiO2 to act as diffusion barrier and as an etch stop during the interconnect structure patterning. Both SiO2 and SiN dielectric processes are made in plasma-enhanced chemical vapor deposition processes, from SiH4 precursor with addition of, respectively, N2O or NH3. Cu contamination is shown to occur during the dielectric deposition onto Cu, and is enhanced by the fluorine presence in the deposition chamber. Deposition processes were evaluated in order to lower Cu contamination in the dielectric bulk. On an other hand, a noticeable degradation in Cu layer resistance was evidenced after dielectric deposition due to copper contamination during the dielectric deposition process. This issue can be addressed by the optimization of the dielectric deposition process.  相似文献   

10.
A novel SiO2 film formed by ion plating (IP) at room temperature was developed for low-temperature-processed (LTP) (<625°C) polysilicon thin-film transistors (poly-Si TFT's). The IP SiO2 film is a high-density dielectric with strained bonds, and also a high-performance insulator with low-leakage current and high-breakdown voltage. Poly-Si TFT with IP SiO2 as a gate insulator shows satisfactory performance  相似文献   

11.
Effects of oxide growth temperature on time-dependent dielectric breakdown (TDDB) characteristics of thin (115 Å) N2O-grown oxides are investigated and compared with those for conventional O2-grown SiO2 films with identical thickness. Results show that TDDB characteristics of N2O oxides are strongly dependent on the growth temperature and, unlike conventional SiO2, TDDB properties are much degraded for N 2O oxides with an increase in growth temperature. Large undulations at the Si/SiO2 interface, caused by locally retarded oxide growth due to interfacial nitrogen, are suggested as a likely cause of degradation of TDDB characteristics in N2O oxides grown at higher temperatures  相似文献   

12.
A process to planarize low-pressure chemical-vapor deposition (LPCVD) SiO2 films formed over the abrupt topography of fine-line (2.0-μm pitch) integrated circuits with two levels of metallization and pillar interconnections has been developed with sacrificial photoresist and plasma etching using response-surface methodology. To produce flat dielectric surfaces with this topography, the ratio of the measured etch rate of photoresist to that of phosphorus-doped SiO2 must be maintained at ~0.4 (3800 and 9100 Å/min, respectively) with an Ar/CF4/O2 high pressure plasma generated in a low radio-frequency etching system  相似文献   

13.
High dose-rate plasma ion implantation (PII) has been utilized to produce low dielectric constant (k) SiO2 films for high quality interlayer dielectrics. The SiO2 films are fluorine-doped/carbon-doped by PII with CF4 plasma in an inductively-coupled plasma (ICP) reactor. It is found that the use of CF 4 doping results in exceptional dielectric properties which differ significantly from fluorinated SiO2. The dielectric constant of the SiO2 film is reduced from 4.1 to 3.5 after 5 minute PII, other electrical parameters such as bulk resistivity and dielectric breakdown strength are also improved  相似文献   

14.
A dielectric film technology characterized by a novel multilayer structure formed by oxidation of Ta2O5/Si3 N4 films on polysilicon has been developed to realize high-density dRAMs. The dry oxidation of the Ta2O5/Si3N4 layers was performed at temperatures higher than 900°C. This film has a capacitance per unit area from 5.5 to 6.0 fF/ μm2, which is equivalent to that of a 6.0- to 6.5-nm-thick SiO2. The leakage current at an effective electric field of 5 MV/cm is less than 10-9 A/cm2. Under such an electric field, the extrapolated time to failure for 50% cumulative failure can be as high as 1000 years  相似文献   

15.
In this letter, we develop a nearly white-light-emitting device by integrating blue/green emission from a GaN-based light-emitting diode with red emission from a porous SiO2 layer. The porous SiO 2 layer was fabricated by a novel process procedure to create Si nanocrystals on top of the n-type GaN layer. Red light is generated from the metal-oxide-semiconductor (Ni-Au-SiO2 oxide-n-type GaN) structure due to the electron-hole recombination in the Si nanocrystals. The device shows a blue light emission at a low biased voltage and nearly white-light emission (green and red colors) at a bias voltage between 14 and 16 V. Our results show the potential of applying such an integrated structure to white-light illumination  相似文献   

16.
Nitrogen implantation on the silicon substrate was performed before the gate oxidation at a fixed energy of 30 keV and with the split dose of 1.0×1014/cm2 and 2.0×1014 /cm2. Initial O2 injection method was applied for gate oxidation. The method is composed of an O2 injection/N2 anneal/main oxidation, and the control process is composed of a N2 anneal/main oxidation. CMOS transistors with gate oxide thickness of 2 nm and channel length of 0.13 μm have been fabricated by use of the method. Compared to the control process, the initial O2 injection process increases the amount of nitrogen piled up at the Si/SiO2 interface and suppresses the growth of gate oxide effectively. Using this method, the oxidation retarding effect of nitrogen was enhanced. Driving currents, hot carrier reliability, and time-zero dielectric breakdown (TZDB) characteristics were improved  相似文献   

17.
High quality, ultrathin (<30 Å) SiO2/Si3 N4 (ON) stacked film capacitors have been fabricated by in situ rapid-thermal multiprocessing. Si3N4 film was deposited on the RTN-treated poly-Si by rapid-thermal chemical vapor deposition (RTCVD) using SiH4 and NH3, followed by in situ low pressure rapid-thermal reoxidation in N2O (LRTNO) or in O2 (LRTO) ambient. While the use of low pressure reoxidation suppresses severe oxidation of ultrathin Si3N4 film, the use of N2O-reoxidation significantly improves the quality of ON stacked film, resulting in ultrathin ON stacked film capacitors with excellent electrical properties and reliability  相似文献   

18.
The authors point out that a TEOS/O3-oxide layer used as an interlevel dielectric enhances hot-carrier degradation of MOSFETs due to the water-related components (water and/or silanols) contained in the layer. This results mainly from enhanced hot-electron trapping in the gate oxide and also from interface-trap generation. By applying an ECR-SiO2 layer under the TEOS/O3-oxide layer, tolerance against hot-carrier damage is improved to the level of MOSFETs without the TEOS/O3 oxide. From ESR measurement results, it is found that the spin density of the ECR-SiO2 film under the TEOS/O3 oxide is two orders lower than that of the ECR-SiO 2 film only. It is suggested that the dangling bonds in the ECR-SiO2 film effectively trap water diffusing from the water-containing overlayer  相似文献   

19.
A plasma etching process for patterning LPCVD (low-pressure chemical vapor deposition) Si3N4 which has been formed on thin thermally grown SiO2 has been developed and characterized with an Applied Materials 8110 batch system using 100-mm-diameter silicon wafers. To fulfill the primary process objectives of minimal critical dimension (CD) loss (~0.08 μm), vertical profiles after etch, retention of some of the underlying thermal SiO2, and batch etch uniformity, the reactor has been characterized by evaluating the effects of variation of reactor pressure (15 to 65 mTorr), O2 concentration by flow rate (30 to 70%) of an O2/CHF2 mixture, and DC bias voltage (-200 to -550 V). Analysis of the resulting etch rate, etch uniformity, dimensional, and profile data suggests that satisfactory processing may be achieved at low reactor pressure (~25 mTorr), 50-60% O2 by flow rate in O2/CHF3, and low DC bias (-200 to -250 V)  相似文献   

20.
The dielectric breakdown mechanism of SiO2 has been discussed on the basis of the experimental results of the post-breakdown resistance (Rbd) distribution. We have noticed for the first time that Rbd of SiO2 in MOS devices is strongly related to the SiO2 breakdown characteristics such as the polarity dependence or the oxide field dependence of Qbd. In this paper, we discuss the dielectric breakdown mechanism of SiO2 from the viewpoint of the statistical correlation between the R bd distribution, the Qbd. distribution, and the emission energy just at the SiO2 breakdown, by changing the stress polarity, stress field, and the oxide thickness. For complete dielectric breakdown, it has been clarified that the Rbd distribution under the substrate electron injection is clearly different from that under the gate electron injection. We have also found that, irrespective of the stress current density, the gate oxide thickness and the stressing polarity, Rbd can be uniquely expressed by the energy dissipation at the occurrence of dielectric breakdown of SiO2 for the complete breakdown. Furthermore, it has been clarified that Rbd does not depend on the energy dissipation at the occurrence of quasidielectric breakdown  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号