首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
A stack of Al2O3/SiNx dual layer was applied for the back side surface passivation of p-type multi-crystalline silicon solar cells, with laser-opened line metal contacts, forming a local aluminum back surface field (local Al-BSF) structure. A slight amount of Al2O3, wrapping around to the front side of the wafer during the thermal atomic layer deposition process, was found to have a negative influence on cell performance. The different process flow was found to lead to a different cell performance, because of the Al2O3 wrapping around the front surface. The best cell performance, with an absolute efficiency gain of about 0.6% compared with the normal full Al-BSF structure solar cell, was achieved when the Al2O3 layer was deposited after the front surface of the wafer had been covered by a SiNx layer. We discuss the possible reasons for this phenomenon, and propose three explanations as the Ag paste, being hindered from firing through the front passivation layer, degraded the SiNx passivation effect and the Al2O3 induced an inversion effect on the front surface. Characterization methods like internal quantum efficiency and contact resistance scanning were used to assist our understanding of the underlying mechanisms.  相似文献   

2.
原子层沉积(ALD)方法可以制备出高质量薄膜,被认为是可应用于柔性有机电致发光器件(OLED)最有发展前景的薄膜封装技术之一。本文采用原子层沉积(ALD)技术,在低温(80℃)下,研究了Al2O3及TiO2薄膜的生长规律,通过钙膜水汽透过率(WVTR)、薄膜接触角测试等手段,研究了不同堆叠结构的多层Al2O3/TiO2复合封装薄膜的水汽阻隔特性,其中5 nm/5 nm×8 dyads(重复堆叠次数)的Al2O3/TiO2叠层结构薄膜的WVTR达到2.1×10-5 g/m2/day。采用优化后的Al2O3/TiO2叠层结构薄膜对OLED器件进行封装,实验发现封装后的OLED器件在高温高湿条件下展现了较好的寿命特性。  相似文献   

3.
We present a synchrotron-based XPS investigation on the interface between InAs and Al2O3 or HfO2 layers, deposited by ALD at different temperatures, for InAs substrates with different surface orientations as well as for InAs nanowires. We reveal the composition of the native Oxide and how the high-κ layer deposition reduces Oxide components. We demonstrate some of the advantages in using synchrotron radiation revealing the variation in Oxide composition as a function of depth into the subsurface region and how we can indentify Oxides even on nanowires covering only a small fraction of the surface.  相似文献   

4.
In this work we investigate the effect of different III-V surface passivation strategies during atomic layer deposition of Al2O3. X-ray photoelectron spectroscopy indicates that bare As-decapped and sulfur passivated In0.53Ga0.47As present residual oxides on the surface just before the beginning of the Al2O3 deposition while the insertion of a Ge interface passivation layer results in an almost oxide free Ge/III-V interface. The study of the initial growth regimes, by means of in situ spectroscopic ellipsometry, shows that the growth of Al2O3 on Ge leads to an enhanced initial growth accompanied by the formation of Ge-O-Al species thus affecting the final electrical properties of the stack. Alternatively, deposition on decapped and S-passivated In0.53Ga0.47As results in a more controlled growth process. The sulfur passivation leads to a better electrical response of the capacitor that can be associated to a lower oxide/semiconductor interface trap density.  相似文献   

5.
A method of Al2O3 deposition and subsequent post-deposition annealing (Al2O3-PDA) was proposed to passivate electrically active defects in Ge-rich SiGe-on-insulator (SGOI) substrates, which were fabricated using Ge condensation by dry oxidation. The effect of Al2O3-PDA on defect passivation was clarified by surface analysis and electrical evaluation. It was found that Al2O3-PDA could not only suppress the surface reaction during Al-PDA in our previous work [Yang H, Wang D, Nakashima H, Hirayama K, Kojima S, Ikeura S. Defect control by Al-deposition and the subsequent post-annealing for SiGe-on-insulator substrates with different Ge fractions. Thin Solid Films 2010; 518: 2342-5.], but could also effectively passivate p-type defects generated during Ge condensation. The concentration in the range of 1016-1018 cm−3 for defect-induced acceptors and holes in Ge-rich SGOI drastically decreased after Al2O3-PDA. As a result of defect passivation, the electrical characteristics of both back-gate p-channel and n-channel metal-oxide-semiconductor field-effect transistors fabricated on Ge-rich SGOI were greatly improved after Al2O3-PDA.  相似文献   

6.
High surface area Si/Al2O3/ZnO:Al capacitors were formed in electrochemically etched porous silicon. The Al2O3 dielectric and the ZnO:Al top electrode were deposited by atomic layer deposition in high aspect ratio porous Si. A single capacitor with a typical area of about 1 mm2 consisted of about 105 pores. Effective capacitance densities were between 2.0 and 2.5 μF/cm2, i.e., approximately 30 times higher than for a planar capacitor prepared under identical conditions, illustrating the effect of the enhanced surface area in the porous structure.  相似文献   

7.
HfO2 dielectric films with a blocking layer (BL) of Al2O3 on Si0.8Ge0.2 were treated with rapid thermal annealing process. The effect of BL on thermal stability and electrical properties was reported. X-ray photoelectron spectroscopy suggested that BL could suppress the further growth of the interfacial layer composed of SiOx and GeOx, and lead to the decomposition of GeOx and the saturation of O vacancy in SiOx structure. High-resolution transmission electron microscopy indicated that BL would keep HfO2 amorphous after annealed treatment. Electrical measurements indicated that there was no stretch-out in capacitance-voltage curves, the accumulation region was flat, and leakage current was reduced for the sample with BL.  相似文献   

8.
Thin films of SnSx, semiconductors, have been successfully synthesized by ultrasonic spray pyrolysis technique, using two precursors namely:tin (Ⅱ) chloride and tin (IV) chloride, respectively. The solutions were prepared by the dilution of different Sn molarities of the two precursors separately. The precursor molarities were varied from 0.04 to 0.07 mol/L, whereas that of S was fixed at 0.1 mol/L. The present work focuses on the effect of the different precursor''s molarities on the nature and the properties of the prepared thin films in order to optimize the growth conditions. X-ray diffraction analysis reveals that the precursor''s molarities alter the grain size of the prepared films, which varied from 8 to 14 nm and from 12 to 16 nm, according to the used precursor. The films analysis by SEM, shows that the SnS2 films are more dense and smooth than the SnS films. The composition of the elements is analysed with an EDX spectrometer, and the obtained result for Msn D 0:07 mol/L indicates that the atomic ratio of Sn to S is 51.57:48.43 and 36:64 for films synthesized from the first and second precursors respectively. Electrical measurements show that the conductivity behavior depends on the used precursors and their molarities.  相似文献   

9.
提出一种钝化InP表面的新方法湿法钝化和干法钝化相结合。这种新型的钝化方式有效地降低了InP表面态密度,并使其表面暴露在空气中一段时间后仍具有较好的稳定性。实验利用光致发光(PL)谱,对样品的发光性质进行测试。通过对样品进行XPS测试表明,通过对样品进行退火处理,可增强In-S键结合强度,进一步降低表面态密度。最后,利用原子力显微镜(AFM)对样品的表面形貌进行表征。  相似文献   

10.
电子束辐照下的石墨烯上的原子层沉积Al2O3介质层   总被引:1,自引:1,他引:0  
为了研究石墨烯与高k介质的结合,使用原子层沉积氧化铝在石墨衬底上。沉积前使用电子束辐照,观测到了氧化铝明显改善的形貌。归因于电子束辐照过程中的石墨层的无定形变化过程。  相似文献   

11.
Cu2ZnSnS4 (CZTS)/ZnS heterojunctions have been prepared by a successive deposition of ZnS and CZTS thin films by ultrasonic spray pyrolysis technique on glass substrates. The cupric chloride concentration has been varied in the starting solution in order to investigate its influence on device properties. CZTS/ZnS heterojunctions were characterized by recording their current-voltage characteristics at different temperatures. The obtained results exhibit a good rectifying behavior of the realized heterojunction. Analysis of these results yields saturation current, series resistance and ideality factor determination. From the activation energy of saturation current we inferred that the thermal emission through the barrier height is the dominant mechanism of the reverse current rather than the defects contribution.  相似文献   

12.
MNOS, MNS and MOS devices have been fabricated on p-type 6H–SiC substrates without epitaxial layers. They have been characterised using high frequency CV, GV, and IV measurements. The high frequency CV characteristics of p-type 6H–SiC MNOS structures indicate a very similar interface quality to p-type 6H–SiC MOS devices. A lower effective fixed insulator charge QI is found in MNOS devices with a higher oxide thickness xox. An xox of 10 nm is effective in avoiding charge instability. The effective fixed insulator charge QI can be modified in the 10 nm oxide SiC MNOS devices by injecting carriers into the nitride. Similar leakage current characteristics compared to p-type 6H–SiC MNS structures have been found for p-type 6H–SiC MNOS devices, but the SiO2/Si3N4 insulator current is lower, particularly for positive electric fields. At the oxide breakdown limit (−10 MV/cm), Poole–Frenkel conduction is observed in the nitride for negative electric fields due to direct tunnelling of holes into the nitride.  相似文献   

13.
Interfacial chemical analyses and electrical characterization of in situ atomic layer deposited (ALD) Al2O3 on freshly molecular beam epitaxy (MBE) grown n- and p- GaAs (001) with a (4 × 6) surface reconstruction are performed. The capacitance-voltage (C-V) characteristics of as-deposited and 550 °C N2 annealed samples are correlated with their corresponding X-ray photoelectron spectroscopy (XPS) interfacial analyses. The chemical bonding for the as-deposited ALD-Al2O3/n- and p-GaAs interface is similar, consisting of Ga2O (Ga1+) and As-As bonding (As0) without any detectable arsenic oxides or Ga2O3; the interfacial chemical environments remained unchanged after 550 °C N2 annealing for 1hr. Both as-deposited and annealed p-GaAs metal-oxide-semiconductor capacitors (MOSCAPs) exhibit C-V characteristics with small frequency dispersion (<5%). In comparison, n-GaAs MOSCAPs shows much pronounced frequency dispersion than their p-counterparts.  相似文献   

14.
We investigate electrical properties of Ni/Al2O3/GaN metal–oxide–semiconductor (MOS) structures having different pre-treatment of GaN surface by O2, Ar and NH3, combined with various temperature of annealing. MOS and reference Ni/GaN Schottky contact are characterized using current–voltage and capacitance–voltage methods. MOS structures compared with the Schottky contact ones show leakage current reduction for all types of processing, from 3 to 5 orders of magnitude in reverse direct. We observed substantial influence of the pre-treatment on electrical parameters of MOS structures.  相似文献   

15.
The atomic oxygen-assisted molecular beam deposition of Gd2O3 films on Ge(0 0 1) substrates has been performed at various growth temperatures. The compositional aspects, the interface details and the surface structure have been investigated by in situ X-ray photoelectron spectroscopy, time-of-flight secondary ion mass spectroscopy and in situ atomic force microscopy, and ex situ. The interface layer of GeO2 has been subsequently fabricated by means of atomic oxygen exposure in order to passivate the high-k/Ge interface. The electrical characterization on the final Gd2O3/GeO2/Ge structure has been reported. The electrical characterization on the Al gate/Gd2O3/GeO2/Ge structure exhibits a MOS behavior, indicating the beneficial effect of GeO2 passivation.  相似文献   

16.
Hafnium-based dielectrics are the most promising material for SiO2 replacement in future nodes of CMOS technology. While devices that utilize HfO2 gate dielectrics suffer from lower carrier mobility and degraded reliability, our group has recently reported improved device characteristics with a modified HfxZr1−xO2 [R.I. Hegde, D.H. Triyoso, P.J. Tobin, S. Kalpat, M.E. Ramon, H.-H. Tseng, J.K. Schaeffer, E. Luckowski, W.J. Taylor, C.C. Capasso, D.C. Gilmer, M. Moosa, A. Haggag, M. Raymond, D. Roan, J. Nguyen, L.B. La, E. Hebert, R. Cotton, X.-D. Wang, S. Zollner, R. Gregory, D. Werho, R.S. Rai, L. Fonseca, M. Stoker, C. Tracy, B.W. Chan, Y.H. Chiu, B.E. White, Jr., in: Technical Digest - International Electron Devices Meet, vol. 39, 2005, D.H. Triyoso, R.I. Hegde, J.K. Schaeffer, D. Roan, P.J. Tobin, S.B. Samavedam, B.E. White, Jr., R. Gregory, X.-D. Wang, Appl. Phys. Lett. 88 (2006) 222901]. These results have lead to evaluation of X-ray reflectivity (XRR) for monitoring high-k film thickness and control of Zr addition to HfO2 using measured film density. In addition, a combination of XRR and spectroscopic ellipsometry (SE) is shown to be a fast and non-intrusive method to monitor thickness of interfacial layer between high-k and the Si substrate.  相似文献   

17.
Although programming and erase speeds of charge trapping (CT) flash memory device are improved by using Al2O3 as blocking layer, its retention characteristic is still a main issue. CT flash memory device with Al2O3/high-k stacked blocking layer is proposed in this work to enhance data retention. Moreover, programming and erase speeds are slightly improved. In addition, sealing layer (SL), which is formed by an advanced clustered horizontal furnace between charge trapping layer and Al2O3 as one of the blocking layers is also studied. The retention characteristic is enhanced by SL approach due to lower gate leakage current with less defect. With the combination of SL and Al2O3/high-k stacked blocking layer approaches, retention property can be further improved.  相似文献   

18.
Metal–ferroelectric–insulator–semiconductor (MFIS) capacitors and field effect transistors with the structures of Al/Pb (Zr0.53, Ti0.47) O3 (PZT)/Dy2O3/Si and Al/PZT/Y2O3/Si were fabricated. The memory windows of Al/PZT/Dy2O3/Si and Al/PZT/Y2O3/Si capacitors with sweep voltage of 10 V are 1.03 V and 1.48 V, respectively. The effect of band offset on the memory window was discussed. The retention times of Al/PZT/Y2O3/Si and Al/PZT/Dy2O3/Si MFISFETs are 11.5 days and 11.1 h, respectively. The longer retention time of Al/PZT/Y2O3/Si field effect transistors is attributed to the larger conduction band offset at the Y2O3/Si interface (2.3 eV) compared to that of Dy2O3/Si (0.79 eV).  相似文献   

19.
We elaborate the possibility of combining high-k dielectrics with wide band gap semiconductors, i.e. Pr2O3 on SiC. The thermal stability of interfacial aluminum oxynitride (AlON) layers between Pr-oxide and SiC has been investigated by synchrotron radiation photoemission spectroscopy (SRPES). The interface of Pr2O3 with SiC is reactive. Such reaction is successfully prevented by utilizing a stable interlayer derived from AlON. No elemental carbon is observed in detectable amount after Pr-Oxide deposition on AlON covered 3C-SiC and subsequent vacuum annealing. After vacuum annealing at 500 °C AlON transformed to AlN and Pr-aluminate with a small amount of CN close to the SiC surface which were thermally stable even at 900 °C. AlON hence provides a good diffusion barrier between Pr-oxide dielectric and 3C-SiC.  相似文献   

20.
The performance and reliability of ferroelectric thin films at temperatures around a few Kelvin are critical for their application in cryo-electronics.In this work,TiN/Hf0.5Zr0.5O2/TiN capacitors that are free from the wake-up effect are investigated systematically from room temperature(300 K) to cryogenic temperature(30 K).We observe a consistent decrease in permittivity(εr) and a progressive increase in coercive electric field(Ec) as tempe...  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号