首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 78 毫秒
1.
NRZ码和CSRZ码在40 Gbit/s单通道系统中传输性能分析   总被引:2,自引:0,他引:2  
文章仿真了非归零码(NRZ)和载波抑制归零码(CSRZ)在40 Gbit/s单通道系统中G.652光纤上传输6×80 km的性能.比较了两种码型对接收端光滤波器和电滤波器带宽的要求、不同的入纤功率下功率代价以及残余色散对比.结果表明,在高速传输系统中,CSRZ码的传输性能明显优于NRZ码.  相似文献   

2.
利用分步傅里叶变换求解在双折射光纤中的耦合非线性薛定谔方程,数值计算了偏振模色散(PMI)对20Gbit/s的非归零码(NRZ)和归零码(RZ)通信系统的影响,通过数值计算发现采用一定脉冲宽度的RZ可以有效地降低PMD对通信系统的影响,并在此基础上,计算了系统传输最大距离时所满足的脉冲最佳宽度。  相似文献   

3.
设计了一种基于偏振调制(PolM)实现非归零码(NRZ)信号到归零码(RZ)信号转换的新型码型转换器,并采用光通信模拟软件对其进行了仿真验证。所设计的转换器,首先采用PolM,对输入的NRZ信号进行偏振调制,然后采用射频时钟信号,抑制信号的旁瓣,实现NRZ到RZ的转换。该码型转换器具有RZ信号占空比可控,经码型转换器后各信号波长相同,时间抖动小,转换效率高,成本低优点,可望在高速光通信网络中得到广泛应用。  相似文献   

4.
惠战强 《激光与红外》2011,41(4):492-500
随着多媒体网络服务业务类型的不断出现,人们对因特网带宽需求日益增长,未来的超高速大容量光子网络很可能是波分复用与时分复用相结合的智能网络。全光归零(RZ)到非归零(NRZ)的码型转换技术,是构建这种网络的关键技术之一,它能避免电子学器件的速率瓶颈,将时分复用(OTDM)与波分复用(WDM)有机结合,在光域内实现不同调制格式的数据在网络的不同部分之间自由传输,已经引起了越来越多人们的兴趣。介绍了当前全光归零到非归零码型转换技术的最新研究进展,分析了其工作原理,优缺点及性能参数,指出了目前存在的技术难点问题,最后对其发展前景进行了展望。  相似文献   

5.
全光非归零(NRZ)到归零(RZ)码型转换技术研究进展   总被引:1,自引:1,他引:1  
互联网业务的迅猛增长,促使光网络向大容量高性能方向发展,波分复用(WDM)与时分复用(OTDM)相结合,将是未来超高速大容量光子网络的发展方向。全光非归零(NRZ)到归零(RZ)码型转换技术,是构建这种WDM/OTDM混合网络的核心接口技术之一,它能将分别采用WDM与OTDM技术的不同网络部分有机结合,实现不同调制格式的数据在网络的不同区域之间自由传输。综述了全光NRZ到RZ码型转换技术的最新研究进展,详细分析了每种方案的工作原理,性能特征及关键技术,对比了其优缺点,指出了目前存在的问题,最后对其发展前景进行了展望。  相似文献   

6.
利用非线性光学环路镜(NOLM)成功地实现了非归零(NRZ)脉冲向归零(RZ)脉冲的变换。实验中采用 开关激光器产生的超短光脉冲作为控制光、锁模激光器输出光经外调制后得到的光轮训珧。对变换信号采用时分复用(TDM)方式得到了20GHz光信号。  相似文献   

7.
全光归零(RZ)到非归零(NRZ)码型转换技术研究进展   总被引:1,自引:0,他引:1  
惠战强 《激光与红外》2011,41(5):492-500
随着多媒体网络服务业务类型的不断出现,人们对因特网带宽需求日益增长,未来的超高速大容量光子网络很可能是波分复用与时分复用相结合的智能网络。全光归零(RZ)到非归零(NRZ)的码型转换技术,是构建这种网络的关键技术之一,它能避免电子学器件的速率瓶颈,将时分复用(OTDM)与波分复用(WDM)有机结合,在光域内实现不同调制格式的数据在网络的不同部分之间自由传输,已经引起了越来越多人们的兴趣。介绍了当前全光归零到非归零码型转换技术的最新研究进展,分析了其工作原理,优缺点及性能参数,指出了目前存在的技术难点问题,最后对其发展前景进行了展望。  相似文献   

8.
恶化非归零码信号的全光时钟恢复   总被引:3,自引:0,他引:3  
张峰  陈明  秦曦  吕博  卢丹  陈勇  曹继红  简水生 《中国激光》2007,34(8):1101-1105
全光时钟提取结构应对输入信号的恶化程度有一定的容忍度.在一种半导体光放大器(SOA) 啁啾光纤布拉格光栅(CFBG) 受激布里渊散射(SBS)的方式实现非归零(NRZ)码信号的全光时钟提取结构中,半导体光放大器和啁啾光纤布拉格光栅共同作用实现了非归零码信号的时钟分量增强,基于受激布里渊散射的全光时钟提取结构提取出非归零码的光时钟信号.实验通过对不同恶化程度的非归零码信号的时钟提取比较发现,恶化信号的信噪比是影响光时钟提取的关键.输入非归零码信号的信噪比越差,光时钟信号光谱的噪声水平越高,提取出的光时钟信号的幅度越低.当时钟增强非归零码信号的时钟数据抑制比低于-10 dB时,无法实现非归零码信号的时钟提取.  相似文献   

9.
偏振模色散所致光纤链路传输损伤分析   总被引:1,自引:0,他引:1  
袁明辉 《激光技术》2009,33(4):397-399
为了研究偏振模色散所致光纤链路传输损伤,采用基于耦合非线性薛定谔方程的分步傅里叶法数值分析了1阶和2阶偏振模色散对光纤链路中非归零码、归零码及啁啾归零码脉冲信号的影响。结果表明,偏振模色散造成光纤链路性能的严重恶化,成为限制其性能的最主要因素之一。而且随着工作速度的提高,高阶偏振模色散的影响更大;采用合适的码型能在一定程度上减轻偏振模色散的影响,其中啁啾归零码略优于归零码,而归零码优于非归零码。  相似文献   

10.
张红 《电讯技术》1999,39(5):37-41
本文对WCDMA系统中移动台能提供的单码和多码两种多速率传输方案进行了比较。结果表明:尽管两种传输方案中信号的动态范围要求不一样,这两种方案的误码率性能是相同的。  相似文献   

11.
全部利用线性啁啾光纤布拉格光栅(CBG)作色散补偿模块和在线通道滤波顺,在2500km超长距离的G.652光纤上实现10Ghps归零码(RZ)、载波抑制归零码(CSRZ)光信号的无电中继传输,并在2080km和2560km处分别对2种信号的传输性能进行了测试。CSRZ在上述2处的功率代价分别为~1dBm和~3dBm(BER-10^12,PRBS=10^23-1),RZ的功率代价分别为~3dBm和~5dBm,验证了在相同系统平台下CSRZ光信号比RZ光信号有更好的性能.  相似文献   

12.
建立了自适应偏振模色散补偿系统,利用偏振度作为反馈信号,对40 Gb/s的RZ和NRZ码分别进行了PMD补偿的数值模拟,结果显示,采用DOP作反馈信号、用二段补偿器和三段补偿器对二种码型的PMD补偿均是有效的.但由于高阶PMD的影响对NRZ码的补偿效果要优于RZ码,特别是存在偏振相关色散的影响时,对RZ码的补偿的影响在明显大于NRZ码,这说明,对于RZ码补偿偏振相关色散是必要的.  相似文献   

13.
14.
光纤数字通信中NRZ与RZ码序列的定时时钟提取   总被引:1,自引:0,他引:1  
在光纤数字通信系统中,NRZ非归零码和RZ归零码是最常见的基带信号。由于两者频谱成分不同,其定时时钟提取方式则不同。本文通过对NRZ、RZ伪随机码序列进行频谱分析,得知当NRZ码变换成码元占空比为1/2的RZ码时,所提取出的定时时钟功率最强。  相似文献   

15.
The characteristics of chirped fiber Bragg gratings (CFBGs) are optimized so that the ripple coefficient of the power reflectivity spectrum and group time delay are less than 1 dB and |± 15| ps, group delay is about 2600 ps/nm, polarization module dispersion is very small, PMD<2 ps, -3 dB bandwidth is about 0.35 nm, and insertion loss is about 4-5 dBm. Using dispersion compensation CFBG, a 2500 km-10 Gbps RZ optical signal transmission system on G.652 fiber was successfully demonstrated without an electric regenerator by optimizing dispersion management and loss management. The RZ optical signal was generated through a two-stage modulation method. At 2081 km, the power penalty of transmission is about 3 dB (conditions: RZ signal, BER = 10-12, PRBS = 1023 - 1); At 2560 km, the power penalty is about 5 dB. It is superior to the system using NRZ under the same conditions.  相似文献   

16.
报道了基于DOP反馈的10 Gb/s NRZ码和RZ码光通信系统的PMD自适应补偿实验,自适应补偿器的搜索时间低于1 s,受到突然扰动后的恢复时间少于20 ms.  相似文献   

17.
采用外调制方式产生5 Gbit/s的非归零码光脉冲   总被引:1,自引:0,他引:1  
采用外调制方式得到了重复频率为5GHz的非归零码光脉冲。采用二次谐波强度自相关法测量了光脉冲。对实验中的关键技术进行了理论和实验研究。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号