首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 140 毫秒
1.
利用分步傅里叶变换求解在双折射光纤中的耦合非线性薛定谔方程,数值计算了偏振模色散(PMI)对20Gbit/s的非归零码(NRZ)和归零码(RZ)通信系统的影响,通过数值计算发现采用一定脉冲宽度的RZ可以有效地降低PMD对通信系统的影响,并在此基础上,计算了系统传输最大距离时所满足的脉冲最佳宽度。  相似文献   

2.
设计了一种基于偏振调制(PolM)实现非归零码(NRZ)信号到归零码(RZ)信号转换的新型码型转换器,并采用光通信模拟软件对其进行了仿真验证。所设计的转换器,首先采用PolM,对输入的NRZ信号进行偏振调制,然后采用射频时钟信号,抑制信号的旁瓣,实现NRZ到RZ的转换。该码型转换器具有RZ信号占空比可控,经码型转换器后各信号波长相同,时间抖动小,转换效率高,成本低优点,可望在高速光通信网络中得到广泛应用。  相似文献   

3.
40Gb/s光纤通信系统中不同码型传输特性的实验研究   总被引:8,自引:3,他引:8  
在高速光纤通信系统中码型的选择是决定系统传输质量和光谱效率的主要因素。码型的选择和信道速率、信道波长间隔、光放大器的选择、光放大器放置间隔、光纤的类型、色散管理策略等各种因素密切相关。分析了非归零码(NRZ)、归零码(RZ)和载波抑制归零码(CSRZ)码型的产生方式及特点。采用单信道和掺铒光纤放大器(EDFA)放大方式对三种码型进行了40Gb/s的100kmG.652光纤通信传输实验。比较了三种码型的系统传输持性、最佳入纤功率和不同入纤功率下的功率代价:载波抑制归零码最佳入纤功率为9dBm,功率代价小于非归零码和归零码。结果表明,在相同的色散补偿条件下,载波抑制归零码比归零码和非归零码有更优的非线性容忍度。  相似文献   

4.
基于信号频谱变换的原理,利用微环谐振器的梳状谱传输特性,辅助以窄带滤波器,将全光归零(RZ)码信号的频谱包络转变为较理想的非归零(NRZ)码信号的频谱包络,从而实现RZ码到NRZ码的码型转换。详细研究了转换后的NRZ信号眼图质量与设备因素,如窄带滤波器的选择、微环谐振器的耦合条件以及输入信号RZ码占空比的关系。结果表明窄带滤波器为2阶巴特沃斯滤波器时,微环谐振器的耦合条件以及RZ码占空比对码型转换效果的影响较小。另外,通过调整微环谐振器的尺寸,设计的码型转换器能与不同速率的系统相兼容,能更好地适应未来光网络的发展。  相似文献   

5.
利用数值计算的方法对40G WDM系统进行了仿真.在考虑了光纤群速度色散(GVD)、三阶色散(TOD)、自相位调制(SPM)、交叉相位调制(XPM)、四波混频(FWM)和偏振模色散(PMD)的前提下,通过对比眼图和Q因子,对归零码(RZ)和非归零码(NRZ)调制格式的传输性能进行了研究.  相似文献   

6.
数值仿真分析了利用高非线性光纤(HNLF)的交叉相位调制(XPM)效应实现归零(RZ)码到非归零(NRZ)码的转换,并讨论了RZ信号占空比、光纤色散对转换后NRZ信号Q因子的影响.数值结果表明:转换后NRZ码的Q值受输入RZ信号占空比的影响;而且RZ信号与连续的探测光之间的色散差也严重影响转换后NRZ信号的Q因子值.  相似文献   

7.
光纤数字通信中NRZ与RZ码序列的定时时钟提取   总被引:1,自引:0,他引:1  
在光纤数字通信系统中,NRZ非归零码和RZ归零码是最常见的基带信号。由于两者频谱成分不同,其定时时钟提取方式则不同。本文通过对NRZ、RZ伪随机码序列进行频谱分析,得知当NRZ码变换成码元占空比为1/2的RZ码时,所提取出的定时时钟功率最强。  相似文献   

8.
NRZ码和CSRZ码在40 Gbit/s单通道系统中传输性能分析   总被引:2,自引:0,他引:2  
文章仿真了非归零码(NRZ)和载波抑制归零码(CSRZ)在40 Gbit/s单通道系统中G.652光纤上传输6×80 km的性能.比较了两种码型对接收端光滤波器和电滤波器带宽的要求、不同的入纤功率下功率代价以及残余色散对比.结果表明,在高速传输系统中,CSRZ码的传输性能明显优于NRZ码.  相似文献   

9.
基于微波光子滤波器的归零到非归零码型转换研究   总被引:3,自引:1,他引:2  
徐静  江阳  周竹雅  李恒文  王顺艳 《中国激光》2012,39(9):905008-122
从信号频域处理的角度分析并实验验证了一种基于微波光子滤波器的归零(RZ)码到非归零(NRZ)码的码型变换方案。理论上,通过对RZ和NRZ码基带信号的频谱特点以及微波光子滤波器的特性进行分析,构建一个低通滤波器并对RZ码信号进行滤波,强烈抑制RZ码信号中的时钟分量,最终实现RZ到NRZ码的码型转换。在实验中,采用一种两抽头加色散延时的微波光子滤波器对速率为10Gbit/s的RZ码信号进行了处理,成功得到了转换后的NRZ码信号。信号波形和频谱均显示了此方案的良好性能。通过简单地调节微波光子滤波器的部分参数,可对任意速率的信号进行处理,具有很好的灵活性。  相似文献   

10.
霍力  董毅  娄采云  高以智 《电子学报》2002,30(9):1305-1307
本文所研究的光电振荡器(OEO)是一种高速光电混合环路,其振荡频率可以被锁定于外界信号的数据率,本文利用OEO首次实现10Gbit/s的非归零码(NRZ)时钟提取,获得了时间抖动小于0.4ps的时钟信号,测得OEO的注入锁定频率范围可达800kHz。实验中发现OEO中调制器的偏置电压对OEO的注入锁定范围有很大影响。合理控制OEO的工作条件,在进行时钟提取的同时,还可以实现NRZ码到RZ(归零)码的码型转化。将转换后的RZ码进行了160km传输,结果证明这种码型适合传输,该实验说明OEO可以用作不同码型光网络中间的码型转化节点。  相似文献   

11.
全光非归零(NRZ)到归零(RZ)码型转换技术研究进展   总被引:2,自引:1,他引:1  
互联网业务的迅猛增长,促使光网络向大容量高性能方向发展,波分复用(WDM)与时分复用(OTDM)相结合,将是未来超高速大容量光子网络的发展方向。全光非归零(NRZ)到归零(RZ)码型转换技术,是构建这种WDM/OTDM混合网络的核心接口技术之一,它能将分别采用WDM与OTDM技术的不同网络部分有机结合,实现不同调制格式的数据在网络的不同区域之间自由传输。综述了全光NRZ到RZ码型转换技术的最新研究进展,详细分析了每种方案的工作原理,性能特征及关键技术,对比了其优缺点,指出了目前存在的问题,最后对其发展前景进行了展望。  相似文献   

12.
建立了自适应偏振模色散补偿系统,利用偏振度作为反馈信号,对40 Gb/s的RZ和NRZ码分别进行了PMD补偿的数值模拟,结果显示,采用DOP作反馈信号、用二段补偿器和三段补偿器对二种码型的PMD补偿均是有效的.但由于高阶PMD的影响对NRZ码的补偿效果要优于RZ码,特别是存在偏振相关色散的影响时,对RZ码的补偿的影响在明显大于NRZ码,这说明,对于RZ码补偿偏振相关色散是必要的.  相似文献   

13.
40Gb/s DWDM系统不同码型传输性能的比较   总被引:1,自引:1,他引:0  
采用Optisystem软件,对比分析了非归零码(NRZ)、归零码(RZ)、载波抑制归零码(CS-RZ)和载波抑制归零差分相移键控码(CSRZ-DPSK)四种码型在8×40Gb/s DWDM系统的传输性能。结果表明,CSRZ-DPSK码抗色度色散和PMD性能最优,CS-RZ码的OSNR容限最低。当入纤光功率适中、色散和色散斜率同时补偿时,CSRZ-DPSK码和CS-RZ码的最大传输距离超过2700km。  相似文献   

14.
全光归零(RZ)到非归零(NRZ)码型转换技术研究进展   总被引:1,自引:0,他引:1  
惠战强 《激光与红外》2011,41(5):492-500
随着多媒体网络服务业务类型的不断出现,人们对因特网带宽需求日益增长,未来的超高速大容量光子网络很可能是波分复用与时分复用相结合的智能网络。全光归零(RZ)到非归零(NRZ)的码型转换技术,是构建这种网络的关键技术之一,它能避免电子学器件的速率瓶颈,将时分复用(OTDM)与波分复用(WDM)有机结合,在光域内实现不同调制格式的数据在网络的不同部分之间自由传输,已经引起了越来越多人们的兴趣。介绍了当前全光归零到非归零码型转换技术的最新研究进展,分析了其工作原理,优缺点及性能参数,指出了目前存在的技术难点问题,最后对其发展前景进行了展望。  相似文献   

15.
We demonstrate that the cross-gain compression (XGC) in a semiconductor optical amplifier can produce effective return to zero (RZ)-to-nonreturn to zero (NRZ) format conversion. This technique is experimentally investigated at 10 and 40 Gbit/s. At 10 Gbit/s, the format adaptation allows for a very high pulsewidth increase, i.e., from 10 to 100 ps. The output 10 Gbit/s NRZ signal is transmitted on metro-like links with no chromatic dispersion compensation.   相似文献   

16.
光纤传输系统中基于相位预调制的信号整型   总被引:1,自引:0,他引:1  
利用相位预调制技术解决高速长距离光纤传输系统中面临的接收灵敏度降低和色散容限问题。通过在发射端对非归零(NRZ)的光信号进行比特同步相位预调制,使非归零码在传输过程中得到波形重整,演变为归零(RZ)的波形,从而提高眼图开启度。实验观测了普通非归零码和相位预调制的非归零码在不同相位调制深度和色散下的光谱、眼图和功率代价。10Gb/s的传输结果表明,链路色散绝对值小于1000ps/nm时,施加相位预调制的非归零信号功率代价小于1dB,比普通非归零信号具有更高的接收灵敏度和更低的色散功率代价。因此,基于相位预调制的信号整型技术可减轻系统对光信噪比和色散管理的要求,延长传输距离。  相似文献   

17.
在40Gb/s的光纤通信系统中,用三段模拟器模拟光纤传输中的一阶与二阶偏振模色散(PMD),采用单偏振态的偏振度(DOP)作为多级PMD补偿器的反馈信号,对归零(RZ)码、非归零(NRZ)码、载波抑制归零(CSRZ)码和啁啾归零(CRZ)码分别进行四个自由度的一级、六个自由度的二级和十个自由度的三级PMD补偿器的补偿.仿真得到了四种码型的DOP与误码率(BER)的关系,同时补偿后的BER、DOP和PMD的变化关系表明,对RZ和NRZ码采用二级补偿器的效果比一级和三级补偿器要好得多,对CSRZ码和CRZ码采用单偏振态的DOP作为反馈信号进行PMD补偿的效果并不理想.  相似文献   

18.
全部利用线性啁啾光纤布拉格光栅(CBG)作色散补偿模块和在线通道滤波顺,在2500km超长距离的G.652光纤上实现10Ghps归零码(RZ)、载波抑制归零码(CSRZ)光信号的无电中继传输,并在2080km和2560km处分别对2种信号的传输性能进行了测试。CSRZ在上述2处的功率代价分别为~1dBm和~3dBm(BER-10^12,PRBS=10^23-1),RZ的功率代价分别为~3dBm和~5dBm,验证了在相同系统平台下CSRZ光信号比RZ光信号有更好的性能.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号