首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
基于TTCN的测试执行方法及其应用   总被引:2,自引:0,他引:2  
测试执行是协议一致性测试系统中的主要部分,本文提出一种基于测试描述语言TTCN的操作语义对标准测试集进行了解释执行的测试执行方法,利用这种方法所实现的一致性测试系统具有很强的灵活性和独立性,同时大大提高了测试的效率,另外,我们还介绍了基于这种测试方法所完成的协议一致性测试系统(PCTS)的总体结构,设计思想以及测试配置。  相似文献   

2.
赵邑新  吴建平 《电子学报》2000,28(Z1):83-87
在线测试是通过观察线路上双方协议实体的会话来进行协议测试的方法,测试中需要判定会话双方的状态.本文提出的状态同步算法将传统的协议状态机转化为“事件状态表”,并通过表运算判定双方状态.该通用算法解决了在线测试中首先要解决的状态判定问题.通过对该算法进行的复杂度分析得出了影响其复杂度的因素,并进行了改进和优化.该算法应用于作者开发的在线测试系统中,已完成了若干通信协议和路由协议的一致性测试和互操作性测试,取得了较好效果.  相似文献   

3.
Network‐on‐chip (NoC) is an emerging design paradigm intended to cope with future systems‐on‐chips (SoCs) containing numerous built‐in cores. Since NoCs have some outstanding features regarding design complexity, timing, scalability, power dissipation and so on, widespread interest in this novel paradigm is likely to grow. The test strategy is a significant factor in the practicality and feasibility of NoC‐based SoCs. Among the existing test issues for NoC‐based SoCs, test access mechanism architecture and test scheduling particularly dominate the overall test performance. In this paper, we propose an efficient NoC‐based SoC test scheduling algorithm based on a rectangle packing approach used for current SoC tests. In order to adopt the rectangle packing solution, we designed specific methods and configurations for testing NoC‐based SoCs, such as test packet routing, test pattern generation, and absorption. Furthermore, we extended and improved the proposed algorithm using multiple test clocks. Experimental results using some ITC’02 benchmark circuits show that the proposed algorithm can reduce the overall test time by up to 55%, and 20% on average compared with previous works. In addition, the computation time of the algorithm is less than one second in most cases. Consequently, we expect the proposed scheduling algorithm to be a promising and competitive method for testing NoC‐based SoCs.  相似文献   

4.
针对无线自缎织网络中的协议一致性测试问题,提出一种新的测试算法一节点自相似性方法。利用形式他描述语言SDL对路由协议DSR路德协议进行描述,文章给出了该方法的具体涵义以及应用环境。通过举例,验证了节点自相似性方法能够从实际移动网络的模型中产生测试序列进行测试。  相似文献   

5.
QoS monitoring is a kind of real-time systems which allows each level of the system to track the ongoing QoS levels achieved by the lower network layers. For these systems, real-time communication between corresponding transport protocol objects is essential for their correct behavior. When two or more entities are employed to perform a certain task as in the case of communication protocols, the capability to do so is called interoperability and considered as the essential aspect of correctness of communication systems. This paper describes a formal approach on modeling and interoperability test case generation of a real-time QoS monitoring protocol. For this, we specify the behavior of flow monitoring of transport layer QoS protocol, i.e., METS protocol, which is proposed to address QoS from an end-to-end's point of view, based on QoS architecture model which includes ATM network in lower layers. We use a real-time Input/Output Finite State Machine to model the behavior of real-time flow monitoring over time. From the modeled real-time I/OFSM, we generate interoperability test cases to check the correctness of METS protocol's flow monitoring behaviors for two end systems. A new approach to efficient interoperability testing is described and the method of interoperability test cases generation is shown with the example of METS protocol's flow monitoring. The current TTCN is not appropriate for testing real-time and multimedia systems. Because test events in TTCN are for message-based system and not for stream-based systems, the real-time in TTCN can only be approximated. This paper also proposes the notation of real-time Abstract Test Suite by means of real-time extension of TTCN. This approach gives the advantages that only a few syntactical changes are necessary, and TTCN and real-time TTCN are compatible. This formal approach on interoperability testing can be applied to the real-time protocols related to IMT-2000, B-ISDN and real-time systems.  相似文献   

6.
落红卫 《现代电信科技》2008,38(2):37-39,43
通信网络在其发展过程中规模越来越大,涉及到的通信设备也越来越多.如何保证通信设备尤其是计算机网络设备之间的互连互通,成为通信发展的一个重要环节,其关键就是协议测试技术.首先介绍互操作性测试与协议一致性测试之间关系,进而介绍互操作性测试的整体架构和如何编制互操作性测试规范,最后详细叙述互操作性测试步骤.  相似文献   

7.
蔡祥  江冰 《通信技术》2011,44(3):32-34
设计了一种基于485网络的耐压绝缘阻抗测试系统。系统使用RS485总线将多台测试设备组成网络,并通过一台与PC机相连的中控机进行参数设置、远程监控等集中控制。详细说明了系统网络的构建方法和485网络通信协议的设计思路,给出了设计框图。同时介绍了利用VB2005编写的人机交互软件,能提高系统的操作性,减少人为的操作失误。该系统是传统测试设备升级组建成网络系统的可靠途径。  相似文献   

8.
This paper investigates authenticated key exchange (AKE) protocol under computational Diffie–Hellman assumption in the extended Canetti–Krawczyk model. The core technical component of our protocol is the trapdoor test technique, which is originally introduced to remove the gap Diffie–Hellman (GDH) assumption for the public key encryption schemes. Our contributions are twofold.First, we clarify some misunderstandings of the usage of the trapdoor test technique in AKE protocols showing its adaptation to the AKE protocols is not trivial. We point out some errors in some recent work which attempts to make use of the trapdoor test technique to remove GDH assumption.Second, based on trapdoor test technique, we propose an efficient extended Canetti–Krawczyk secure AKE protocol under computational Diffie–Hellman assumption instead of GDH assumption. Additionally, our protocol does not make use of NAXOS trick and has a tight reduction. In comparison with all existing AKE protocols with the properties as previously mentioned, our protocol with only three exponentiations is most efficient. Copyright © 2013 John Wiley & Sons, Ltd.  相似文献   

9.
A Graph-Based Approach to Power-Constrained SOC Test Scheduling   总被引:2,自引:0,他引:2  
The test scheduling problem is one of the major issues in the test integration of system-on-chip (SOC), and a test schedule is usually influenced by the test access mechanism (TAM). In this paper we propose a graph-based approach to power-constrained test scheduling, with TAM assignment and test conflicts also considered. By mapping a test schedule to a subgraph of the test compatibility graph, an interval graph recognition method can be used to determine the order of the core tests. We then present a heuristic algorithm that can effectively assign TAM wires to the cores, given the test order. With the help of the tabu search method and the test compatibility graph, the proposed algorithm allows rapid exploration of the solution space. Experimental results for the ITC02 benchmarks show that short test length is achieved within reasonable computation time.  相似文献   

10.
杜晋奇  吴秋新 《通信技术》2010,43(7):100-102
可信密码模块(TCM)是中国可信计算的基础,其上的固件(Firmware)是TCM芯片的操作系统,对其进行协议符合性测试是验证TCM性能的重要方法。在分析可信密码模块及其固件结构的基础上,说明了TCM命令的数据结构及其各项参数。按照TCM命令协议文档,给出一个针对该协议的固件协议符合性测试方案。实现该方案后,对某款TCM芯片的固件进测试结果表明:该方案切实可行,效果良好。  相似文献   

11.
熊玲  ;彭代渊 《通信技术》2014,(8):951-954
认证测试方法是以串空间模型为基础的一种形式化分析方法。该方法在协议形式化分析过程中具有简洁、清晰等优点,然而,认证测试方法不能检测类型缺陷攻击,文中着力于研究认证测试方法的定义、输出测试定理、输入测试定理以及主动测试定理,以ISO/IEC9798-3协议的安全性分析为例指出认证测试方法的局限,在此基础上重新修改认证测试方法的相关定义,提出新的改进方案,新的认证测试方法扩大了认证测试理论的应用范围。  相似文献   

12.
基于大规模路由模拟的强度测试及结果分析   总被引:2,自引:0,他引:2       下载免费PDF全文
崔勇  徐明伟  徐恪  吴建平 《电子学报》2002,30(8):1238-1241
随着互联网规模的扩大,路由器中路由协议实现的处理能力和稳定性越来越重要.本文提出了路由协议实现的强度测试技术,并使用我们所研制的测试仪IP-TEST对CISCO2600路由器进行了强度测试,证明其OSPF实现的计算复杂度是O((ln N)4),并给出了其支持的网络规模上限.实验证明,这种强度测试技术可以测试路由协议实现在大规模路由抖动时的稳定性及其计算复杂度和可扩展性,并可广泛用于测试其他路由协议实现如RIP、BGP等.  相似文献   

13.
载波聚合技术MAC层新特性及协议一致性测试方法   总被引:1,自引:0,他引:1  
朵灏 《电信网技术》2011,(12):59-63
对LTE-A中引入的载波聚合技术进行了简要介绍,重点对协议栈MAC层的新增特性进行了分析说明,最后针对载波聚合MAC层新增特性提出相应的终端协议一致性测试方法。  相似文献   

14.
文章首先分析了研究IPv6组播技术的重要意义.然后详细分析了组播路由协议PIM-SM,并且在实验室生成IPv6环境下,对PIM-SM协议中的BSR选举、RP竞争、HelIo消息发布和数据流树切换等进行了测试,测试结果表明该协议工作正常,以其为基础实现的组播系统是正确的,符合RFC相关文档说明.  相似文献   

15.
While many different formulations of the embedded core test scheduling problem (ECTSP) have been proposed in test literature recently, a single unified presentation of ECTSP in terms of conventional scheduling patterns has been lacking. There exists a large body of literature on multi-processor scheduling which can be directly applied to ECTSP; in this paper the author presents an introduction to scheduling notation and demonstrates the mapping between many important test scheduling problems like power-constrained, precedence constrained, and defect-oriented scheduling to conventional multi-processor job scheduling problems. Two examples are presented to illustrate this mapping. This unified presentation should make the existing body of knowledge in Operations Research scheduling research easily accessible to test engineers and test automation tool developers.  相似文献   

16.
王超  沈海斌  陆思安  严晓浪 《微电子学》2004,34(3):314-316,321
在系统芯片SOC(system on a chip)设计中实现IP核测试复用的芯片测试结构一般包含两个部分:1)用于传送测试激励和测试响应的片上测试访问机制TAM;2)实现测试控制的芯片测试控制器。文章分析了基于测试总线的芯片测试结构,详细阐述了SOC设计中测试调度的概念,给出了一种能够灵活实现各种测试调度结果的芯片测试控制器的设计。  相似文献   

17.
全球微波接入互操作性(WiMAX)是一个极具发展潜力的无线通信标准,需要有一个好的安全机制来保证。文中简要概述了WiMAX系统的安全体系结构和802.1x协议的体系架构,在此基础上阐述了EAP协议,特别是EAP协议的实现流程步骤,并深入阐述了在公司系统测试平台上实现WiMAX安全机制的协议流程和解决方案,包括在公司系统测试平台上实现鉴权协议的具体过程与3GPP协议规定的鉴权流程的详细区别。  相似文献   

18.
基于四阶累量的MUSIC算法对阵元误差的稳健性分析   总被引:4,自引:0,他引:4  
廖桂生  保铮 《通信学报》1997,18(8):33-38
本文分析了基于四阶累量的MUSIC算法(记作FOC-MUSIC),在实际阵元存在幅相误差时,对波达方向(DOA)的估计性能,给出了大样本情况下的估计方差公式,并与基于协方差矩阵的标准MUSIC算法作了比较。计算机仿真结果表明,本文给出的方差公式与实验仿真结果十分接近。文中还给出了利用高阶累量的方法可获得阵列有效孔径扩展的新解释。  相似文献   

19.
The long and increasing test application time for modular core-based system-on-chips is a major problem, and many approaches have been developed to deal with the problem. Different from previous approaches, where it is assumed that all tests will be performed until completion, we consider the cases where the test process is terminated as soon as a defect is detected. Such abort-on-fail testing is common practice in production test of chips. We define a model to compute the expected test time for a given test schedule in an abort-on-fail environment. We have implemented three scheduling techniques and the experimental results show a significant test time reduction (up to 90%) when making use of an efficient test scheduling technique that takes defect probabilities into account. The research is partially supported by the Swedish National Program STRINGENT.  相似文献   

20.
System-on-chip test scheduling with reconfigurable core wrappers   总被引:1,自引:0,他引:1  
The problem with increasing test application time for testing core-based system-on-chip (SOC) designs is addressed with test architecture design and test scheduling. The scan-chains at each core are configured into a set of wrapper-chains, which by a core wrapper are connected to the test access mechanism (TAM), and the tests are scheduled in such a way that the test time is minimized. In this paper, we make use of reconfigurable core wrappers that, in contrast to standard wrappers, can dynamically change (reconfigure) the number of wrapper-chains during test application. We show that by using reconfigurable wrappers the test scheduling problem is equivalent to independent job scheduling on identical machines, and we make use of an existing preemptive scheduling algorithm that produces an optimal solution in linear time (O(n); n is the number of tests). We also show that the problem can be solved without preemption, and we extend the algorithm to handle: 1) test conflicts due to interconnection tests and 2) cases when the test time of a core limits an optimal usage of the TAM. The overhead in logic is given by the number of configurations, and we show that the upper-bound is three configurations per core. We compare the proposed approach with the existing technique and show, in comparison, that our technique is 2% less from lower bound.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号