首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Etch characteristics of magnetic tunnel junction (MTJ) stack masked with TiN films were investigated using an inductively coupled plasma reactive ion etcher in Cl2/Ar and BCl3/Ar gases for magnetic random access memory. The effect of etch gas on the etch profile of MTJ stacks was examined. As Cl2 and BCl3 concentrations increased, the etch slope of etched MTJ stack became slanted and the dimensional shrinkage was observed. A high degree of anisotropic etching of MTJ stacks was achieved using Cl2/Ar gas at the optimized etch conditions.  相似文献   

2.
Etch characteristics of L10 FePt thin films masked with TiN films were investigated using an inductively coupled plasma (ICP) reactive ion etching in a CH3OH/Ar plasma. As the CH3OH gas was added to Ar, the etch rates of FePt thin films and TiN hard mask gradually decreased, and the etch profile of FePt films improved with high degree of anisotropy. With increasing ICP rf power and dc-bias voltage to substrate and decreasing gas pressure, the etch rate increased and the etch profile becomes vertical without any redepositions or etch residues. Based on the etch characteristics and surface analysis of the films by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of FePt thin films in a CH3OH/Ar gas does not follow the reactive ion etch mechanism but the chemically assisted sputter etching mechanism, due to the chemical reaction of FePt film with CH3OH gas.  相似文献   

3.
Etch characteristics of CoFeB magnetic thin films patterned with TiN hard masks were investigated using inductively coupled plasma reactive ion etching in H2O/Ar and H2O/CH4 gas mixes. As the H2O concentration in the H2O/Ar gas increased, the etch rates of CoFeB and TiN films decreased simultaneously, while the etch selectivity increased and etch profiles improved slightly without any redeposition. The addition of CH4 to the H2O gas resulted in an increase in etch selectivity and a higher degree of anisotropy in the etch profile. X-ray photoelectron spectroscopy was performed to understand the etch mechanism in H2O/CH4 plasma. A good pattern transfer of CoFeB films masked with TiN films was successfully achieved using the H2O/CH4 gas mix.  相似文献   

4.
Inductively coupled plasma reactive ion etching of CoFeB magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of CoFeB thin films and Ti hard mask decreased but the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage and gas pressure on the etch characteristics were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and decreasing gas pressure. The degree of anisotropy in the etch profile of CoFeB films improved with increasing coil rf power and dc-bias voltage. X-ray photoelectron spectroscopy revealed that the chemical compounds containing Co and Fe components were formed during the etching. However, it was expected that the formation of these compounds could not increase the etch rates of the films due to low volatile compounds despite the improvement in etch profile.  相似文献   

5.
An inductively coupled plasma reactive ion etching of IrMn magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of IrMn thin films and Ti hard mask decreased, while the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage to substrate and gas pressure on the etch characteristics were investigated. The etch rate increased and the etch profile improved with increasing coil rf power, dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed that the chemical reaction between IrMn films and CH3OH gas occurred, leading to the clean and good etch profile with high degree of anisotropy of 90°.  相似文献   

6.
Do Young Lee 《Thin solid films》2009,517(14):4047-4051
Inductively coupled plasma reactive ion etching of indium zinc oxide (IZO) thin films masked with a photoresist was performed using a Cl2/Ar gas. The etch rate of the IZO thin films increased as Cl2 gas was added to Ar gas, reaching a maximum at 60% Cl2 and decreasing thereafter. The degree of anisotropy in the etch profile improved with increasing coil rf power and dc-bias voltage. Changes in pressure had little effect on the etch profile. X-ray photoelectron spectroscopy confirmed the formation of InCl3 and ZnCl2 on the etched surface. The surface morphology of the films etched at high Cl2 concentrations was smoother than that of the films etched at low Cl2 concentrations. These results suggest that the dry etching of IZO thin films in a Cl2/Ar gas occurs according to a reactive ion etching mechanism involving ion sputtering and a surface reaction.  相似文献   

7.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

8.
H.Y. Jung  H.J. Lee  C.Y. Jeong 《Thin solid films》2009,517(14):3938-3941
Extreme ultraviolet lithography (EUVL) is the most promising candidate for next generation lithography due to its feature size of 32 nm or below. We investigated the etching properties of materials in an alternating, phase-shift mask (PSM) structure for EUVL, including a Ru top capping layer, Mo-Si multilayer (ML) and Ni etch stop layer (ESL), by varying the Cl2/O2 and Cl2/Ar gas flow ratios, and the dc self-bias voltage (Vdc) in inductively coupled plasma (ICP). The Ru layer could be etched effectively in Cl2/O2 plasmas and Mo-Si ML could be etched with an infinitely high etch selectivity over Ni ESL in Cl2/Ar plasmas, even with increasing overetch time.  相似文献   

9.
In this study, we carried out an investigation in the etching characteristics of TiN thin films in a C12/Ar adaptive coupled plasma. The maximum etch rate of the TiN thin films was 768 nm/min at a gas mixing ratio of C12 (75%)/Ar (25%). At the same time, the etch rate was measured as functions of the various etching parameters. The X-ray photoelectron spectroscopy analysis showed the efficient destruction of the oxide bonds by the ion bombardment as well as the accumulation of low volatile reaction products on the etched surface. Field emission Auger electron spectroscopy analysis was used to examine the efficiency of the ion-stimulated desorption of the reaction products.  相似文献   

10.
《Vacuum》2012,86(4):403-408
In this study, we carried out an investigation in the etching characteristics of TiN thin films in a C12/Ar adaptive coupled plasma. The maximum etch rate of the TiN thin films was 768 nm/min at a gas mixing ratio of C12 (75%)/Ar (25%). At the same time, the etch rate was measured as functions of the various etching parameters. The X-ray photoelectron spectroscopy analysis showed the efficient destruction of the oxide bonds by the ion bombardment as well as the accumulation of low volatile reaction products on the etched surface. Field emission Auger electron spectroscopy analysis was used to examine the efficiency of the ion-stimulated desorption of the reaction products.  相似文献   

11.
The etch characteristics of CoFeB magnetic films and magnetic-tunnel-junction (MTJ) stacks masked with Ti films were investigated using an inductively coupled plasma reactive ion etching in a HBr/Ar gas mix. The etch rate, etch selectivity, and etch profile of the CoFeB films were obtained as a function of the HBr concentration. As the HBr gas was added to Ar, the etch rate of the CoFeB films, and the etch selectivity to the Ti hard mask, gradually decreased, but the etch profile of the CoFeB films was improved. The effects of the HBr concentration and etch parameters on the etch profile of the MTJ stacks with a nanometer-sized 70 x 100 nm2 pattern were explored. At 10% HBr concentration, low ICP RF power, and low DC-bias voltage, better etch profiles of the MTJ stacks were obtained without redeposition. It was confirmed that the protective layer containing hydrogen, and the surface bombardment of the Ar ions, played a key role in obtaining a steep sidewall angle in the etch profile. Fine-pattern transfer of the MTJ stacks with a high degree of anisotropy was achieved using a HBr/Ar gas chemistry.  相似文献   

12.
Etching characteristics and the mechanism of HfO2 thin films in Cl2/Ar inductively-coupled plasma were investigated. The etch rate of HfO2 was measured as a function of the Cl2/Ar mixing ratio in the range of 0 to 100% Ar at a fixed gas pressure (6 mTorr), input power (700 W), and bias power (300 W). We found that an increase in the Ar mixing ratio resulted in a monotonic decrease in the HfO2 etch rate in the range of 10.3 to 0.7 nm/min while the etch rate of the photoresist increased from 152.1 to 375.0 nm/min for 0 to 100% Ar. To examine the etching mechanism of HfO2 films, we combined plasma diagnostics using Langmuir probes and quadrupole mass spectrometry with global (zero-dimensional) plasma modeling. We found that the HfO2 etching process was not controlled by ion-surface interaction kinetics and formally corresponds to the reaction rate-limited etch regime.  相似文献   

13.
We investigated the N2 additive effect on the etch rates of TiN and SiO2 and etch profile of TiN in N2/Cl2/Ar adaptively coupled plasma (ACP). The mixing ratio of Cl2 and Ar was fixed at 75 and 25 sccm, respectively. The N2 flow rate was increased from 0 to 9 sccm under the constant pressure of 10 mTorr. As N2 flow rate was increased in N2/Cl2/Ar plasma, the etch rate of TiN was linearly increased, but that of SiO2 was increased non-monotonically. The etch profile and the compositional changes of TiN was investigated with field emission-scanning electron microscope (FE-SEM), FE-Auger electron spectroscopy (FE-AES) and x-ray photoelectron spectroscopy (XPS). When 9 sccm N2 was added into Cl2/Ar, a steep etch profile and clean surface of TiN was obtained. In addition, the signals of TiN and Ti were disappeared in FE-AES and XPS when N2 additive flow into Cl2/Ar was above 6 sccm. From the experimental data, the increase in TiN etch rate was mainly caused by the increase of desorption and evacuation rate of etch by products because of the increased effective pumping speed. The etch mechanism of TiN in N2/Cl2/Ar ACP plasma can be concluded as the ion enhanced chemical etch.  相似文献   

14.
《Vacuum》2012,86(4):380-385
We investigated the N2 additive effect on the etch rates of TiN and SiO2 and etch profile of TiN in N2/Cl2/Ar adaptively coupled plasma (ACP). The mixing ratio of Cl2 and Ar was fixed at 75 and 25 sccm, respectively. The N2 flow rate was increased from 0 to 9 sccm under the constant pressure of 10 mTorr. As N2 flow rate was increased in N2/Cl2/Ar plasma, the etch rate of TiN was linearly increased, but that of SiO2 was increased non-monotonically. The etch profile and the compositional changes of TiN was investigated with field emission-scanning electron microscope (FE-SEM), FE-Auger electron spectroscopy (FE-AES) and x-ray photoelectron spectroscopy (XPS). When 9 sccm N2 was added into Cl2/Ar, a steep etch profile and clean surface of TiN was obtained. In addition, the signals of TiN and Ti were disappeared in FE-AES and XPS when N2 additive flow into Cl2/Ar was above 6 sccm. From the experimental data, the increase in TiN etch rate was mainly caused by the increase of desorption and evacuation rate of etch by products because of the increased effective pumping speed. The etch mechanism of TiN in N2/Cl2/Ar ACP plasma can be concluded as the ion enhanced chemical etch.  相似文献   

15.
GaN thin films were etched by inductively coupled plasma (ICP). The effects of BCl3 and Ar with different Cl2 fraction are studied and compared. The ICP power and RF power are also altered to investigate the different effects by using Cl2/BCl3 or Cl2/Ar as etching gases. The etch rate and surface morphology of the etched surface are characterized by using surface profiler, scanning electron microscopy and atomic force microscopy. The root-mean-square roughness values are systematically compared. It is found that the etch rates of Cl2/Ar are higher than that of the Cl2/BCl3 in the Cl2 fraction ranging from 10 to 90%. When the ICP power is increased, the RMS roughness of GaN surface after ICP etching shows reverse trend between Cl2/BCl3 and Cl2/Ar gas mixture. The results indicate quite different features using Cl2/BCl3 and Cl2/Ar for GaN ICP etcing under the same conditions.  相似文献   

16.
In this paper, a wet chemical etching technique to selectively etch tantalum thin film in sodium hydroxide and potassium hydroxide based solutions was developed. Tantalum thin films were deposited by a DC-magnetron sputtering technique on silica and yttria-stabilized zirconia (YSZ) substrates. After deposition, the films were etched in hot NaOH/ H2O2 and KOH/H2O2 based solutions with Au/Cr film as a hard mask. The etch rate was studied as a function of temperature and concentration of the etchants.  相似文献   

17.
Cl2/Ar based inductively coupled plasma (ICP) etching of GaN is investigated using photoresist mask in a consequential restricted domain of pressure < 1.2 Pa and radio frequency (RF) sample power < 100 W, for selective mesa etching. The etch characteristics and root-mean-square (rms) surface roughness are studied as a function of process parameters viz. process pressure, Cl2 percentage in total flow rate ratio, and RF sample power at a constant ICP power, to achieve moderate GaN etch rate with anisotropic profiles and smooth surface morphology. The etch rate and resultant surface roughness of etched surface increased with pressure mainly due to dominant reactant limited etch regime. The etch rate and surface roughness show strong dependence on RF sample power with the former increasing and the later decreasing with the applied RF sample power up to 80 W. The process etch yield variation with applied RF sample power is also reported. The studied etch parameters result in highly anisotropic mesa structures with Ga rich etched surface.  相似文献   

18.
Etching characteristics of high-k dielectric materials (HfO2) and metal electrode materials (Pt, TaN) have been studied in high-density chlorine-containing plasmas at pressures around 10 mTorr. The etching of HfO2 was performed in BCl3 without rf biasing, giving an etch rate of about 5 nm/min with a high selectivity of >10 over Si and SiO2. The etching of Pt and TaN was performed in Ar/O2 with high rf biasing and in Ar/Cl2 with low rf biasing, respectively, giving a Pt etch rate of about several tens nm/min and a TaN etch rate of about 200 nm/min with a high selectivity of >8 over HfO2 and SiO2. The etched profiles were outwardly tapered for Pt, owing to the redeposition of etch or sputter products on feature sidewalls, while the TaN profiles were almost anisotropic, probably owing to the ion-enhanced etching that occurred.  相似文献   

19.
Etch characteristics of MgO thin films were investigated using an inductively coupled plasma reactive ion etcher in a HBr/Ar plasma. As the concentration of HBr gas increased, the etch rate of MgO thin films gradually decreased, but the etch rate of Ti hard mask showed initial decrease and then increased with increasing HBr concentration. The etch profile of MgO films was improved with increasing HBr concentration and a high degree of anisotropy in etch profile was achieved at 30% HBr/Ar gas. Based on the etch characteristics and surface analysis by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of MgO thin films in a HBr/Ar gas does not follow the reactive ion etch mechanism but the sputter etching mechanism with the assistance of chemical reactions on the film surfaces.  相似文献   

20.
Dry etching of indium zinc oxide (IZO) thin films was performed using inductively coupled plasma reactive ion etching in a C2F6/Ar gas. The etch characteristics of IZO films were investigated as a function of gas concentration, coil rf power, dc-bias voltage to substrate, and gas pressure. As the C2F6 concentration was increased, the etch rate of the IZO films decreased and the degree of anisotropy in the etch profile also decreased. The etch profile was improved with increasing coil rf power and dc-bias voltage, and decreasing gas pressure. An X-ray photoelectron spectroscopy analysis confirmed the formation of InF3 and ZnF2 compounds on the etched surface due to the chemical reaction of IZO films with fluorine radicals. In addition, the film surfaces etched at different conditions were examined by atomic force microscopy. These results demonstrated that the etch mechanism of IZO thin films followed sputter etching with the assistance of chemical reaction.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号