首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Chemical mechanical polishing (CMP) processes are widely used in the semiconductor industry and are conventionally carried out using abrasive slurry and a polishing pad. In an alternative procedure, called ‘slurry free CMP’, the abrasive particles are embedded in the pad material (‘fixed abrasives’). A microreplicated resin layer of pyramids filled with the abrasives is placed on top of a rigid polycarbonate layer and a resilient foam sublayer. Instead of slurry, only DI-water or a basic solution is applied. Drying in of the slurry and glazing of the pad is not possible and pad conditioning is not required. Experiments were carried out for slurry free CMP to optimize the with-in-wafer non-uniformity (WIW-NU), removal rate and planarization of oxide ILD. Results of this optimization are compared with our best slurry process.

It is shown that the fixed abrasives process is superior to the slurry process with regard to planarization: the rate of planarization is almost three times faster for the slurry free process. This enables further process optimization, such as the use of a thinner pre-CMP oxide layer.

Besides higher planarization rates, better with-in-die non-uniformity (WID-NU) can be obtained by adjusting the subpad construction of these ‘slurry free’ pads. The flexibility of the pad construction makes it possible to optimize the WID-NU and WIW-NU. Stiffer pads give lower WID-NU, but for the stiffest pad the WIW-NU will slightly increase. However, compared to the slurry process both WID-NU and WIW-NU are better.  相似文献   


2.
In this research, we conducted a series of experiments to investigate the mechanisms of chemical mechanical polishing (CMP) of silicon. Experimental approaches include tribological tests of frictional and lubricating behavior, chemical analysis, and surface characterization. Specifically, the effects of pH in slurry, surface roughness of wafers, and nano-particle size on removal rate were studied. A transmission electron microscope (TEM), a scanning electron microscope (SEM), and x-ray characterization tools were used to study the change of surface structure and chemistry. Experimental results indicate that the removal rate and planarization are dominated by the surface chemistry.  相似文献   

3.
Abstract: Surface roughness by peaks and depressions on the surface of titanium dioxide (TiO2) thin film, which was widely used for an antireflection coating of optical systems, caused the extinction coefficient increase and affected the properties of optical system. Chemical mechanical polishing (CMP) is a very important method for surface smoothing. In this polishing experiment, we used self-formulated weakly alkaline slurry. Other process parameters were working pressure, slurry flow rate, head speed, and platen speed. In order to get the best surface roughness (1.16 A, the scanned area was 10 × 10 μm2) and a higher polishing rate (60.8 nm/min), the optimal parameters were: pressure, 1 psi; slurry flow rate, 250 mL/min; polishing head speed, 80 rpm; platen speed, 87 rpm.  相似文献   

4.
In order to achieve a high-quality quartz glass substrate and to improve the performance of Ti O2 antireflection coating,chemical mechanical polishing(CMP) method was used.During CMP process,some process parameters including pressure,polishing head speed,platen speed,slurry flow rate,polishing time,and slurry temperature were optimized to obtain lower quartz surface roughness.According to the experiment results,when pressure was 0.75 psi,polishing head speed was 65 rpm,platen speed was 60 rpm,slurry flow rate 150 m L/min,slurry temperature 20°C,and polishing time was 60 s,the material removal rate(MRR) was 56.8 nm/min and the surface roughness(Ra) was 1.93 °(the scanned area was 1010 m2/.These results were suitable for the industrial production requirements.  相似文献   

5.
It is well known that within wafer non-uniformity (WIWNU), due to the variation in material, removal rate (MRR) in the whole wafer plays an important role in determining the quality of a wafer planarized by CMP. Various material removal models also suggest that the MRR is strongly influenced by the interface pressure. In the present work, an analytical expression for pressure distribution at the wafer and pad interface is developed. It is observed that depending on the wafer curvature and polishing conditions, the interface pressure may exhibit significant variation. The analytical model predictions are first verified against finite element method (FEM) simulations. The predicted analytical pressure profiles are then utilized in Preston's equation to estimate the MRR, and these MRR predictions are also compared to experimental observations. The analytical results suggest, that for a specified wafer curvature there exists a certain polishing condition (and vice versa) that will enable holding the WIWNU within a specified tolerance band. The proposed model facilitates the design space exploration for such optimal polishing conditions.  相似文献   

6.
The effect of mechanical process parameters such as down force and rotation speed on friction behavior and material removal rate (MRR) was investigated during chemical mechanical polishing (CMP) of sapphire substrate. It was found that the increase in both rotation speed and down force can enhance the MRR and friction force almost linearly depends on the down force and rotation speed. The coefficient of friction (COF) decreases with increasing rotation speed under a fixed down force but keeps constant regardless of variation in down force under a fixed rotation speed. Moreover, the relationship between friction force and MRR was obtained. MRR was proportional to friction force with increasing down force whereas converse proportional to that with increasing the rotation speed. In addition, MRR data are fitted to the Preston equation in the sapphire CMP.  相似文献   

7.
Ceria-based high selectivity slurry (HSS), which shows high polishing selectivity of silicon oxide to silicon nitride, was applied to the shallow trench isolation (STI) chemical mechanical planarization (CMP) process for giga-bit scale memory fabrication. While the wafer-to-wafer non-uniformity (WTWNU) and within-wafer non-uniformity (WIWNU) are superior to conventional silica-based slurry, the level of slurry induced scratches is too high for the ceria-based slurry to be used in present CMP processes. By optimizing the CMP process and filtering method, however, the number and depth of these scratches were reduced considerably to the level where the yield of gate oxide was sufficient to meet the requirement of manufacturing. In this paper, the authors discussed the possible causes of scratches when using ceria-based slurry and how these scratches affect to lower the breakdown yield of gate oxides. In addition, the authors investigated the relationship between within wafer non-uniformity and cell threshold voltage (Vt) variation and probe test 1 (PT1) yield variation.  相似文献   

8.
Amino acids, when used with ceria based slurries, yield high selectivity in shallow trench isolation chemical mechanical polishing (CMP). However, the presence of impurities in the abrasives also plays a role in determining the selectivity. Experiments were performed with two different ceria abrasives, one with high purity and the other with controlled lanthanum doping. Various amino acids were evaluated in order to identify the nature of interaction between the additives and the abrasives. The abrasives were further characterized using transmission electron microscopy, X-ray diffraction and X-ray photo-electron spectroscopy. The removal rate results show that glycine and proline are sensitive to the La doping in the ceria abrasive whereas the other amino acids studied suppress the nitride removal irrespective of the purity of the abrasives. Thermo-gravimetric analysis shows that the extent of adsorption of glycine or proline on ceria depends on the presence of La doping, whereas the other amino acids adsorb equally well on ceria abrasives with or without La doping.  相似文献   

9.
Effect of abrasive particle concentration on material removal rate (MRR), MRR per particle and the surface quality in the preliminary chemical mechanical polishing (CMP) of rough glass substrate was investigated. Experimental results showed that the MRR increases linearly with the increase of abrasive concentration and reaches to the maximum when the abrasive concentration is 20 wt.%, and then tends to be stable. When the abrasive concentration increases from 2 to 5 wt.%, the MRR per particle increases greatly and reaches a peak. Then the MRR per particle decreases almost linearly with the increase of the abrasive concentration. The root mean squares (RMS) roughness almost decreases with increasing particle concentration. In addition, in situ coefficient of friction (COF) was also conducted during the polishing process and the zeta potentials of abrasive particles in slurry with different solid concentration were also characterized. Results show that COF value is not related to zeta potential but be sensitive to glass surface conditions in terms of rough peaks in preliminary polishing of glass substrate.  相似文献   

10.
The key component of ferroelectric random access memory (FeRAM) is a capacitor including a ferroelectric thin film and electrode materials. Platinum is one of the suitable metals which meet requirements such as low resistivity, high thermal stability, and good oxygen resistance. Generally, the ferroelectric and the electrode materials were patterned by a plasma etching process. The application possibility of chemical mechanical polishing (CMP) processes to the patterning of ferroelectric thin film instead of plasma etching was investigated in our previous study for improvement of an angled sidewall which prevents the densification of FeRAM. In this study, the characteristics of platinum CMP for FeRAM applications were also investigated by an approach as bottom electrode materials of ferroelectric material in CMP patterning. The removal rate was increased from 24.81 nm/min by the only alumina slurry (0.0 wt% of H2O2 oxidizer) to 113.59 nm/min at 10.0 wt% of H2O2 oxidizer. Electrochemical study of platinum and alumina slurry with various concentrations of H2O2 was performed in order to investigate the change of the removal rate. The decreased particle size in the alumina slurry with an addition of 10.0 wt% H2O2 oxidizer made the improved surface roughness of the platinum thin films. Micro-scratches were observed in all polished samples.  相似文献   

11.
铜化学机械抛光中的平坦性问题研究   总被引:3,自引:0,他引:3  
铜的化学机械抛光(Cu-CMP)技术是ULSI多层金属布线结构制备中不可缺少的平坦化工艺.Cu-CMP后硅片表面的蝶形、侵蚀等平坦性缺陷将降低铜线的最终厚度和增大电阻率,从而降低器件性能和可靠性.而且可能进一步影响硅片的面内非均匀性(WIWUN),在多层布线中导致图案转移的不准确.本文介绍了对Cu-CMP平坦性的仿真、模拟和实验研究,并着重分析了碟形、侵蚀和WlWUN与抛光液、线宽和图案密度、抛光速度和载荷等相关参数的关系.  相似文献   

12.
It is well known that within-wafer nonuniformity (WIWNU) due to the variation in material removal rate (MRR) in chemical mechanical polishing (CMP) significantly affects the yield of good dies. The process control for a batch CMP operation is further complicated by wafer-to-wafer nonuniformity (WTWNU) caused by MRR decay when a number of wafers are polished with the same unconditioned pad. Accordingly, the present work focuses on modeling the WIWNU and WTWNU in CMP processes. Various material removal models suggest that the MRR is strongly influenced by the interface pressure. It is also well known that the viscoelastic properties of the pad play an important role in CMP. In the present work, an analytical expression for pressure distribution (and its associated MRR) at the wafer-pad interface for a viscoelastic pad is developed. It is observed that under constant load, which is typical during main polishing in CMP, the spatial distribution of the interface pressure profile may change with time from edge-slow to edge-fast, depending on the combination of wafer curvature, down pressure, and pad properties. For constant displacement operations, the pressure profile retains its edge-slow or edge-fast characteristics over time. The analytical model predictions of MRR based on viscoelastic pad properties also correlate very well to existing experimental observations of MRR decay when an unconditioned pad is used to polish a number of wafers. Based on these observations, it may be conjectured that the viscoelastic material properties of the pad play a primary role in causing the observed MRR decay. The analytical results obtained in the present work can also provide an estimation of evolution of thickness removal distribution over the entire wafer. This may be used for determining the optimum thickness of the overburden material and its polishing time, and for effective control of CMP processes.  相似文献   

13.
Many researchers studying copper chemical mechanical planarization (CMP) have been focused on mechanisms of copper removal using various chemicals. On the basis of these previous works, we studied the effect of slurry components on uniformity. Chemical mechanical planarization of copper was performed using citric acid (C6H8O7), hydrogen peroxide (H2O2), colloidal silica, and benzotriazole (BTA, C6H4N3H) as a complexing agent, an oxidizer, an abrasive, and a corrosion inhibitor, respectively. As citric acid was added to copper CMP slurry (pH 4) containing 3 vol% hydrogen peroxide and 3 wt% colloidal silica, the material removal (MRR) at the wafer center was higher than its edge. Hydrogen peroxide could not induce a remarkable change in the profile of MRR. Colloidal silica, used as an abrasive in copper CMP slurry containing 0.01 M of citric acid and 3 vol% of hydrogen peroxide, controlled the profile of MRR by abrading the wafer edge. BTA as a corrosion inhibitor decreased the MRR and seems to control the material removal around the wafer center. All the results of in this study showed that the MRR profile of copper CMP could be controlled by the contents of slurry components.  相似文献   

14.
Chemical-mechanical polishing (CMP) has been widely used in semiconductor production for a certain length period, but the process control of CMP still has a number of issues to be resolved. The process control of CMP has not been well established. A good process model is essential to a successful CMP integration into mass production. This paper describes the development of a data logging network system for a CMP process. This system helps engineers build proper process control models. This system consists of the following entities: CMP process equipment, several analog/digital I/O devices built into the equipment, film thickness and scratch measurement instrument, particle measurement facility, and an infrared thermometer. All of these are connected with a local area network.  相似文献   

15.
In this study, the optimum process parameters and the influences of their process parameters were investigated for indium tin oxide-chemical mechanical polishing (ITO-CMP) with the sufficient removal rate and the good planarity. And then, the optical property such as transmittance and absorption efficiency, and the electrical characteristics such as sheet resistance, carrier density and Hall mobility were discussed in order to evaluate the possibility of CMP application for the organic light emitting display (OLED) device using an ITO film. Light transmission efficiency and current-voltage characteristics of ITO thin film were improved after CMP process using optimized process parameters compared to that of as-deposited thin film before CMP process.  相似文献   

16.
In chemical mechanical polishing (CMP) of Cu, organic acids are often used as additives of slurries. This paper studied the effects of citric acid, oxalic acid, glycolic acid and glycine on Cu CMP performance. Our experiments explored the difference of these organic acids in surface reactions with Cu. The results showed that organic acids could chelate the passive film of Cu, and oxalic acid would further form precipitates with copper ions to change the chemical and mechanical action during CMP. Potential-pH diagrams, electrochemical polarization and impedance analyses were used to examine the behaviors of Cu in various organic acid slurries. The results indicated that the proposed equivalent circuits from impedance analysis for Cu CMP system could provide a good index to surface roughness. Furthermore, we also discussed the effects of used organic acids on reducing particle contamination after Cu CMP by measuring the difference of isoelectric points between Cu and α-Al2O3. The result showed that the addition of organic acid could efficiently decrease particle contamination.  相似文献   

17.
化学机械平坦化(CMP)是铜互连制备过程中唯一的全局平坦化技术。但是由于互连线铜与扩散阻挡层物理及化学性质上的差异,在阻挡层的化学机械平坦化过程中将加剧导致碟形坑的产生。目前,国际上抛光液以酸性为主,但是其存在固有的问题,如酸性气体挥发,腐蚀严重等。本论文研发出一种新型碱性阻挡层抛光液,与商用的阻挡层抛光液做对比,评估了其抛光性能。实验结果表明,新型碱性阻挡层抛光液抛光后表面状态好,粗糙度较低。另外,碟形坑及电阻测试结果表明,新型碱性阻挡层抛光后铜布线的表面形貌好,碟形坑小,能够应用于铜布线阻挡层的CMP中。  相似文献   

18.
Abstract: The stability of a novel low-pH alkaline slurry (marked as slurry A, pH = 8.5) for copper chemical mechanical planarization was investigated in this paper. First of all, the stability mechanism of the alkaline slurry was studied. Then many parameters have been tested for researching the stability of the slurry through comparing with a traditional alkaline slurry (marked as slurry B, pH = 9.5), such as the pH value, particle size and zeta potential. Apart from this, the stability of the copper removal rate, dishing, erosion and surface roughness were also studied. All the results show that the stability of the novel low-pH alkaline slurry is better than the traditional alkaline slurry. The working-life of the novel low-pH alkaline slurry reaches 48 h.  相似文献   

19.
The mechanism of the FA/O chelating agent in the process of chemical mechanical polishing (CMP) is introduced. CMP is carried on a φ300 mm copper film. The higher polishing rate and lower surface roughness are acquired due to the action of an FA/O chelating agent with an extremely strong chelating ability under the condition of low pressure and low abrasive concentration during the CMP process. According to the results of several kinds of additive interaction curves when the pressure is 13.78 kPa, flow rate is 150 mL/min, and the rotating speed is 55/60 rpm, it can be demonstrated that the FA/O chelating agent plays important role during the CMP process.  相似文献   

20.
摘要:本文研究了碱性精抛液的电化学行为。主要研究内容为不同H2O2浓度电解液中腐蚀电位(Ecorr)和腐蚀电流(Icorr)的变化规律,对比了不同精抛电解液的极化曲线,分析了H2O2的钝化作用对控制碟形坑的影响。结果表明:在电化学实验过程中,随着H2O2浓度的增加,腐蚀电位逐渐增加然后趋于平缓,相反腐蚀电流逐渐减小。同时,精抛后的碟形坑随着H2O2浓度的增加而减小,平坦化效果得到了优化。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号