首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 12 毫秒
1.
We report the effect of annealing on electrical and physical characteristics of HfO2, HfSixOy and HfOyNz gate oxide films on Si. Having the largest thickness change of 0.3 nm after post deposition annealing (PDA), HfOyNz shows the lowest leakage current. It was found for both as-grown and annealed structures that Poole-Frenkel conduction is dominant at low field while Fowler-Nordheim tunneling in high field. Spectroscopic ellipsometry measurement revealed that the PDA process decreases the bandgap of the dielectric layers. We found that a decreasing of peak intensity in the middle HfOyNz layer as measured by Tof-SIMS may suggest the movement of N toward the interface region between the HfOyNz layer and the Si substrate during the annealing process.  相似文献   

2.
The effect of thick film Ni(1−x)CoxMn2O4 in-touch overlay on the X band resonance characteristics of thick film microstrip ring resonator is studied. The thick film overlay decreases the resonance frequency and increases the peak output. From the frequency shift the dielectric constant of the thick film Ni(1−x)CoxMn2O4 has been calculated. For the first time Ag thick film microstrip ring resonator has been used to study thick film Ni(1−x)CoxMn2O4 in the X band.  相似文献   

3.
Electrical properties of mixed HfO2-Ta2O5 films (10;15 nm) deposited by rf sputtering on Si have been studied from the view point of their applications as high-k layers, by standard capacitance-voltage and temperature dependent current-voltage characteristics. The effect of HfO2 addition to the Ta2O5 is thickness dependent and the thicker layers exhibit advantages over the pure Ta2O5 (higher dielectric constant, enhanced charge storage density and improved interface quality). The process of HfO2 and Ta2O5 mixing introduces negative oxide charge, tends to creates shallow bulk traps and modifies the dominant conduction mechanisms in the stack capacitors as compared to the Ta2O5-based one (a contribution of tunneling processes through traps located below the conduction band of mixed layers to the leakage current in the HfO2-Ta2O5 stacks is observed). The traps involved in both Poole-Frenkel and tunneling processes are identified.  相似文献   

4.
The objective is to exploit the properties of the GaInNAs/GaAs alloy compressive strain structure to design a laser diode likely to meet the needs of optical communications. Modelling concerns mainly the study of the potentialities of thermal stability and dynamic response offered by these new techniques of electric and optical confinement. Band structure is modelled and typical quantum well properties are illustrated. A thorough study of the structural parameters is undertaken to take into account from the design criteria the temperature sensitivity. Minimising the Auger coefficient in the order of 10−29 cm6/s appears to allow achieving efficient laser diodes production.  相似文献   

5.
High permittivity (high-k) gate dielectrics were fabricated using the plasma oxidation of Hf metal/SiO2/Si followed by the post-deposition annealing (PDA), which induced a solid-phase reaction between HfOx and SiO2. The oxidation time and PDA temperature affected the equivalent oxide thickness (EOT) and the leakage current density of the high-k dielectric films. The interfacial structure of the high-k dielectric film/Si was transformed from HfOx/SiO2/Si to HfSixOy/Si after the PDA, which led to a reduction in EOT to 1.15 nm due to a decrease in the thickness of SiO2. These high-k dielectric film structures were investigated by X-ray photoelectron spectroscopy. The leakage current density of high-k dielectric film was approximately four orders of magnitude lower than that of SiO2.  相似文献   

6.
In this study, high-pressure oxygen (O2 and O2 + UV light) technologies were employed to effectively improve the properties of low-temperature-deposited metal oxide dielectric films and interfacial layer. In this work, 13 nm HfO2 thin films were deposited by sputtering method at room temperature. Then, the oxygen treatments with a high-pressure of 1500 psi at 150 °C were performed to replace the conventional high temperature annealing. According to the XPS analyses, integration area of the absorption peaks of O-Hf and O-Hf-Si bonding energies apparently raise and the quantity of oxygen in deposited thin films also increases from XPS measurement. In addition, the leakage current density of standard HfO2 film after O2 and O2 + UV light treatments can be improved from 3.12 × 10−6 A/cm2 to 6.27 × 10−7 and 1.3 × 10−8 A/cm2 at |Vg| = 3 V. The proposed low-temperature and high pressure O2 or O2 + UV light treatment for improving high-k dielectric films is applicable for the future flexible electronics.  相似文献   

7.
Si1−xGex/Si heterostructures play a primary role in the Si-based fast electronics developments of today. In this work, we will present the experimental results of infrared spectroscopic ellipsometry (IRSE) for structural determination of the boron heavily doped SiGe/Si sample grown by ultra-high vacuum chemical vapor deposition (UHVCVD) (the Ge atomic percent, the thickness of SiGe film and boron concentration). Especially, the principle of boron concentration in p-type SiGe film layer determined by IRSE was elucidated in detail. In addition, in order to corroborate the validity of IRSE for determining dopant concentration, secondary ion mass spectroscopy (SIMS) experiment has also been carried out. The close experimental agreement between IRSE and SIMS demonstrate that IRSE as a contactless, and non-destructive technology can be used in-line tools in production used for measuring the Ge content, the thickness of SiGe layer and boron concentration in p-type dopant SiGe/Si heterostructure, which often used the base layer of SiGe hetero-junction bipolar transistor (HBT) devices.  相似文献   

8.
Charge trapping and trap generation in field-effect transistors with SiO2/HfO2/HfSiO gate stack and TaN metal gate electrode are investigated under uniform and non-uniform charge injection along the channel. Compared to constant voltage stress (CVS), hot carrier stress (HCS) exhibits more severe degradation in transconductance and subthreshold swing. By applying a detrapping bias, it is demonstrated that charge trapping induced degradation is reversible during CVS, while the damage is permanent for hot carrier injection case.  相似文献   

9.
This paper gives the composition dependence of the bandgap energy for highly doped n-type AlxGa1−xN. We report results of the bowing parameter obtained using a random simulation. Three groups of AlxGa1−xN semiconductors were considered and which are distinguishable by their non degenerate or degenerate character in the doping density (1017?ND?1020 cm−3). A striking feature is the large discrepancy of the bandgap bowing (−2.02?b?2.94 eV), as was demonstrated from our calculations. This suggests that high doping may be a possible cause able to induce the large range of bowing parameters reported for AlxGa1−xN alloys.  相似文献   

10.
Annealing effects on electrical characteristics and reliability of MOS device with HfO2 or Ti/HfO2 high-k dielectric are studied in this work. For the sample with Ti/HfO2 higher-k dielectric after a post-metallization annealing (PMA) at 600 °C, its equivalent oxide thickness value is 7.6 Å and the leakage density is about 4.5 × 10−2 A/cm2. As the PMA is above 700 °C, the electrical characteristics of MOS device would be severely degraded.  相似文献   

11.
In this paper, we report our recent study of the effect of RuO2 as an alternative top electrode for pMOS devices to overcome the serious problems of polysilicon (poly-Si) gate depletion, high gate resistance and dopant penetration in the trend of down to 50 nm devices and beyond. The conductive oxide RuO2, prepared by RF sputtering, was investigated as the gate electrode on the Laser MBE (LMBE) fabricated HfO2 for pMOS devices. Structural, dielectric and electric properties were investigated. RuO2/HfO2/n-Si capacitors showed negligible flatband voltage shift (<10 mV), very strong breakdown strength (>10 MV cm−1). Compared to the SiO2 dielectric with the same EOT value, RuO2/HfO2/n-Si capacitors exhibited at least 4 orders of leakage current density reduction. The work function value of the RuO2 top electrode was calculated to be about 5.0 eV by two methods, and the effective fixed oxide charge density was determined to be 3.3 × 1012 cm−2. All the results above indicate that RuO2 is a promising alternative gate electrode for LMBE grown HfO2 gate dielectrics.  相似文献   

12.
The dielectric properties and reliability of fluorinated HfO2 have been studied. The fluorinated HfO2 dielectric treated by NF3 plasma showed improved dielectric characteristics but resulted in interfacial layer (IL) regrowth during the fluorine plasma treatment process, which led to an oxide capacitance reduction and poor electrical characteristics. Through the analysis of chemical composition and electrical characteristics, it has been revealed that the Hf-O bonds in HfO2 layer were converted to Hf-F bonds by the plasma treatment and then the dissociated oxygen diffused to the IL. In order to suppress the IL regrowth, newly fluorinated HfO2 has been developed. Reliability of fluorinated HfO2 dielectric was sharply improved without a decrease in the oxide capacitance at fluorine plasma treatment conditions of low power and temperature.  相似文献   

13.
HfO2 films were grown by atomic vapour deposition (AVD) on SiO2/Si (1 0 0) substrates. The positive shift of the flat band voltage of the HfO2 based metal-oxide-silicon (MOS) devices indicates the presence of negative fixed charges with a density of 5 × 1012 cm−2. The interface trap charge density of HfO2/SiO2 stacks can be reduced to 3 × 1011 eV−1 cm−2 near mid gap, by forming gas annealing. The extracted work function of 4.7 eV preferred the use of TiN as metal gate for PMOS transistors. TiN/HfO2/SiO2 gate stacks were integrated into gate-last-formed MOSFET structures. The extracted maximum effective mobility of HfO2 based PMOS transistors is 56 cm2/Vs.  相似文献   

14.
The characteristics of TDDB (time-dependent dielectric breakdown) and SILC (stress-induced leakage current) for an ultra-thin SiO2/HfO2 gate dielectric stack are studied. The EOT (equivalent-oxide-thickness) of the gate stack (Si/SiO2/HfOz/TiN/TiA1/TiN/W) is 0.91 am. The field acceleration factor extracted in TDDB experi- ments is 1.59 s.cm/MV, and the maximum voltage is 1.06 V when the devices operate at 125 ℃ for ten years. A detailed study on the defect generation mechanism induced by SILC is presented to deeply understand the break- down behavior. The trap energy levels can be calculated by the SILC peaks: one S1LC peak is most likely to be caused by the neutral oxygen vacancy in the HfO2 bulk layer at 0.51 eV below the Si conduction band minimum; another SILC peak is induced by the interface traps, which are aligned with the silicon conduction band edge. Fur- thermore, the great difference between the two SILC peaks demonstrates that the degeneration of the high-k layer dominates the breakdown behavior of the extremely thin gate dielectric.  相似文献   

15.
The HfO2 high-k thin films have been deposited on p-type (1 0 0) silicon wafer using RF magnetron sputtering technique. The XRD, AFM and Ellipsometric characterizations have been performed for crystal structure, surface morphology and thickness measurements respectively. The monoclinic structured, smooth surface HfO2 thin films with 9.45 nm thickness have been used for Al/HfO2/p-Si metal-oxide-semiconductor (MOS) structures fabrication. The fabricated Al/HfO2/Si structure have been used for extracting electrical properties viz dielectric constant, EOT, barrier height, doping concentration and interface trap density through capacitance voltage and current-voltage measurements. The dielectric constant, EOT, barrier height, effective charge carriers, interface trap density and leakage current density are determined are 22.47, 1.64 nm, 1.28 eV, 0.93 × 1010, 9.25 × 1011 cm−2 eV−1 and 9.12 × 10−6 A/cm2 respectively for annealed HfO2 thin films.  相似文献   

16.
We investigated the microstructure and the stress of high-k Hf-Y-O thin films deposited by atomic layer deposition (ALD). These hafnium oxide based films with a thickness of 5-60 nm stabilized in crystal structure with yttrium oxide by alternating the Hf- or Y-containing metal precursor during deposition. The microstructure was investigated by XRD and TEM in dependence of substrate and deposition temperature. The film stress was monitored during thermal cycles up to 500 °C using the substrate curvature method on (1 0 0)-Si wafer material with or without 10 nm TiN bottom electrode as well as on fused silica. It was observed that crystallinity and phases are depending on deposition temperature and film thickness. During thermal treatment the films crystallize depending on deposition temperature, yttrium content and substrate material at different temperatures. Crystallization of the films depends strongly on yttrium content. The highest reduction of 720 MPa was observed for films deposited with a Hf:Y cycle ratio of 10:1 where 6.2% of all metal atoms are replaced by yttrium. These Hf-Y-O films also show the highest k-value of 29 and have the smallest thermal expansion coefficient mismatch to TiN electrodes. Therefore we conclude that Hf-Y-O films are candidates for application in next generations of microelectronic MIM-capacitor devices or metal gate transistor technology.  相似文献   

17.
Al2O3, HfO2, and composite HfO2/Al2O3 films were deposited on n-type GaN using atomic layer deposition (ALD). The interfacial layer of GaON and HfON was observed between HfO2 and GaN, whereas the absence of an interfacial layer at Al2O3/GaN was confirmed using X-ray photoelectron spectroscopy and transmission electron microscopy. The dielectric constants of Al2O3, HfO2, and composite HfO2/Al2O3 calculated from the C-V measurement are 9, 16.5, and 13.8, respectively. The Al2O3 employed as a template in the composite structure has suppressed the interfacial layer formation during the subsequent ALD-HfO2 and effectively reduced the gate leakage current. While the dielectric constant of the composite HfO2/Al2O3 film is lower than that of HfO2, the composite structure provides sharp oxide/GaN interface without interfacial layer, leading to better electrical properties.  相似文献   

18.
This work addresses a 1T1R RRAM architecture, which allows for the precise and reliable control of the forming/set current by using an access transistor. The 1T1R devices were fabricated in a modified 0.25 μm CMOS technology. The memory cells show stable resistive switching in dc as well as pulse-induced mode with an endurance of 103 and 102 cycles, respectively. The variation of pulse widths as a function of amplitudes in 1R devices confirmed the set process distribution over a wide range of pulse widths (300 ns-100 μA), whereas the reset process variation is confined (1-3 μs).  相似文献   

19.
Strained SiGe quantum well p-MOSFETs with LaLuO3 higher-k dielectric were fabricated and characterized. The strained Si/strained Si0.5Ge0.5/strained SOI heterostructure transistors showed good output and transfer characteristics with an Ion/Ioff ratio of 105. The extracted hole mobility shows an enhancement of about 2.5 times over Si universal hole mobility and no degradation compared to HfO2 or even SiO2 gate dielectric devices.  相似文献   

20.
采用磁控溅射和化学气相沉积技术制备出二氧化硅纳米花。利用扫描电子显微镜(SEM),X射线光电子能谱(XPS)和傅里叶红外吸收谱(FTIR)对上述纳米结构进行结构表征。用荧光光谱仪(PL)对其光致发光特性进行了研究。结果表明在激发波长为325nm时,在394nm处出现一个发光峰,表现出良好的发光特性。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号