首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Hf-O-N and HfO2 thin films were evaluated as barrier layers for Hf-Ti-O metal oxide semiconductor capacitor structures. The films were processed by sequential pulsed laser deposition at 300 °C and ultra-violet ozone oxidation process at 500 °C. The as-deposited Hf-Ti-O films were polycrystalline in nature after oxidation at 500 °C and a fully crystallized (o)-HfTiO4 phase was formed upon high temperature annealing at 900 °C. The Hf-Ti-O films deposited on Hf-O-N barrier layer exhibited a higher dielectric constant than the films deposited on the HfO2 barrier layer. Leakage current densities lower than 5 × 10 A/cm2 were achieved with both barrier layers at a sub 20 Å equivalent oxide thickness.  相似文献   

2.
We report the effect of annealing on electrical and physical characteristics of HfO2, HfSixOy and HfOyNz gate oxide films on Si. Having the largest thickness change of 0.3 nm after post deposition annealing (PDA), HfOyNz shows the lowest leakage current. It was found for both as-grown and annealed structures that Poole-Frenkel conduction is dominant at low field while Fowler-Nordheim tunneling in high field. Spectroscopic ellipsometry measurement revealed that the PDA process decreases the bandgap of the dielectric layers. We found that a decreasing of peak intensity in the middle HfOyNz layer as measured by Tof-SIMS may suggest the movement of N toward the interface region between the HfOyNz layer and the Si substrate during the annealing process.  相似文献   

3.
Annealing effects on electrical characteristics and reliability of MOS device with HfO2 or Ti/HfO2 high-k dielectric are studied in this work. For the sample with Ti/HfO2 higher-k dielectric after a post-metallization annealing (PMA) at 600 °C, its equivalent oxide thickness value is 7.6 Å and the leakage density is about 4.5 × 10−2 A/cm2. As the PMA is above 700 °C, the electrical characteristics of MOS device would be severely degraded.  相似文献   

4.
The effect of thick film Ni(1−x)CoxMn2O4 in-touch overlay on the X band resonance characteristics of thick film microstrip ring resonator is studied. The thick film overlay decreases the resonance frequency and increases the peak output. From the frequency shift the dielectric constant of the thick film Ni(1−x)CoxMn2O4 has been calculated. For the first time Ag thick film microstrip ring resonator has been used to study thick film Ni(1−x)CoxMn2O4 in the X band.  相似文献   

5.
In this study, high-pressure oxygen (O2 and O2 + UV light) technologies were employed to effectively improve the properties of low-temperature-deposited metal oxide dielectric films and interfacial layer. In this work, 13 nm HfO2 thin films were deposited by sputtering method at room temperature. Then, the oxygen treatments with a high-pressure of 1500 psi at 150 °C were performed to replace the conventional high temperature annealing. According to the XPS analyses, integration area of the absorption peaks of O-Hf and O-Hf-Si bonding energies apparently raise and the quantity of oxygen in deposited thin films also increases from XPS measurement. In addition, the leakage current density of standard HfO2 film after O2 and O2 + UV light treatments can be improved from 3.12 × 10−6 A/cm2 to 6.27 × 10−7 and 1.3 × 10−8 A/cm2 at |Vg| = 3 V. The proposed low-temperature and high pressure O2 or O2 + UV light treatment for improving high-k dielectric films is applicable for the future flexible electronics.  相似文献   

6.
A ternary WNxCy system was deposited in a thermal ALD (atomic layer deposition) reactor from ASM at 300 °C in a process sequence using tungsten hexafluoride (WF6), triethyl borane (TEB) and ammonia (NH3) as precursors. The WCx layers were deposited by a novel ALD process at a process temperature of 250 °C. The WNx layers were deposited at 375 °C using bis(tert-butylimido)-bis-(dimethylamido)tungsten (tBuN)2(Me2N)2W (imido-amido) and NH3 as precursors. WNx grows faster on plasma enhanced chemical vapor deposition (PECVD) oxide than WCx does on chemical oxide. WNxCy grows better on PECVD oxide than on thermal oxide, which is opposite of what is seen for WNx. In the case of the ternary WNxCy system, the scalability towards thinner layers and galvanic corrosion behavior are disadvantages for the incorporation of the layer into Cu interconnects. ALD WCx based barriers have a low resistivity, but galvanic corrosion in a model slurry solution of 15% peroxide (H2O2) is a potential problem. Higher resistivity values are determined for the binary WNx layers. WNx shows a constant composition and density throughout the layer.  相似文献   

7.
Pentacene organic thin-film transistors (OTFTs) using LaxTa(1−x)Oy as gate dielectric with different La contents (x = 0.227, 0.562, 0.764, 0.883) have been fabricated and compared with those using Ta oxide or La oxide. The OTFT with La0.764Ta0.236Oy can achieve a carrier mobility of 1.21 cm2 V−1s−1s, which is about 40 times and two times higher than those of the devices using Ta oxide and La oxide, respectively. As supported by XPS, AFM and noise measurement, the reasons lie in that La incorporation can suppress the formation of oxygen vacancies in Ta oxide, and Ta content can alleviate the hygroscopicity of La oxide, resulting in more passivated and smoother dielectric surface and thus larger pentacene grains, which lead to higher carrier mobility.  相似文献   

8.
HfO2 films were grown by atomic vapour deposition (AVD) on SiO2/Si (1 0 0) substrates. The positive shift of the flat band voltage of the HfO2 based metal-oxide-silicon (MOS) devices indicates the presence of negative fixed charges with a density of 5 × 1012 cm−2. The interface trap charge density of HfO2/SiO2 stacks can be reduced to 3 × 1011 eV−1 cm−2 near mid gap, by forming gas annealing. The extracted work function of 4.7 eV preferred the use of TiN as metal gate for PMOS transistors. TiN/HfO2/SiO2 gate stacks were integrated into gate-last-formed MOSFET structures. The extracted maximum effective mobility of HfO2 based PMOS transistors is 56 cm2/Vs.  相似文献   

9.
A Ge-stabilized tetragonal ZrO2 (t-ZrO2) film with permittivity (κ) of 36.2 was formed by depositing a ZrO2/Ge/ZrO2 laminate and a subsequent annealing at 600 °C, which is a more reliable approach to control the incorporated amount of Ge in ZrO2. On Si substrates, with thin SiON as an interfacial layer, the SiON/t-ZrO2 gate stack with equivalent oxide thickness (EOT) of 1.75 nm shows tiny amount of hysteresis and negligible frequency dispersion in capacitance-voltage (C-V) characteristics. By passivating leaky channels derived from grain boundaries with NH3 plasma, good leakage current of 4.8 × 10−8 A/cm2 at Vg = Vfb − 1 V is achieved and desirable reliability confirmed by positive bias temperature instability (PBTI) test is also obtained.  相似文献   

10.
Electrodeposition has emerged as a practical and simple method to synthesise semiconductor materials under different forms, thin films or nanostructured layers. This work reports on the cathodic electrodeposition of ZnMnO thin layers using both zinc and manganese chlorides as precursors. The composition of thin films can be varied from binary zinc oxide to manganese oxide varying the Mn/(Mn+Zn) ratio between 0 and 1. The composition of ZnxMnyOz films was obtained by energy dispersive spectroscopy. Zn1−xMnxO films with Mn/Zn ratio less than 10% exhibit a crystalline wurtzite structure typical of ZnO fully oriented in the (0 0 2) direction. Higher Mn content leads to deformation of the ZnO lattice and the wurtzite structure is no longer maintained. X-ray photoelectron spectroscopy points out that Mn3O4 tends to be deposited when a high Mn/Zn ratio is used in the starting solution. Magnetic measurements on films with Mn/(Zn+Mn) ratio near 1 reveal magnetic characteristics similar to Mn3O4 compounds. The transmission spectra of ZnxMnyOz show the typical absorption edge of crystalline ZnO while the wurtzite structure is maintained and it shifts to higher wavelengths when Mn content increases.  相似文献   

11.
The thermal stability and interfacial characteristics for hafnium oxynitride (HfOxNy) gate dielectrics formed on Si (1 0 0) by plasma oxidation of sputtered HfN films have been investigated. X-ray diffraction results show that the crystallization temperature of nitrogen-incorporated HfO2 films increases compared to HfO2 films. Analyses by X-ray photoelectron spectroscopy confirm the nitrogen incorporation in the as-deposited sample and nitrogen substitution by oxygen in the annealed species. Results of FTIR characterization indicate that the growth of the interfacial SiO2 layer is suppressed in HfOxNy films compared to HfO2 films annealed in N2 ambient. The growth mechanism of the interfacial layer is discussed in detail.  相似文献   

12.
TaYOx-based metal-insulator-metal (MIM) capacitors with excellent electrical properties have been fabricated. Ultra-thin TaYOx films in the thickness range of 15-30 nm (EOT ∼ 2.4-4.7 nm) were deposited on Au/SiO2 (100 nm)/Si (100) structures by rf-magnetron co-sputtering of Ta2O5 and Y2O3 targets. TaYOx layers were characterized by X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray (EDX) and X-ray diffraction (XRD) to examine the composition and crystallinity. An atomic percentage of Ta:Y = 58.32:41.67 was confirmed from the EDX analysis while XRD revealed an amorphous phase (up to 500 °C) during rapid thermal annealing. Besides, a high capacitance density of ∼3.7-5.4 fF/μm2 at 10 kHz (εr ∼ 21), a low value of VCC (voltage coefficients of capacitance, α and β) have been achieved. Also, a highly stable temperature coefficient of capacitance, TCC has been obtained. Capacitance degradation phenomena in TaYOx-based MIM capacitors under constant current stressing (CCS at 20 nA) have been studied. It is observed that degradation depends strongly on the dielectric thickness and a dielectric breakdown voltage of 3-5 MV/cm was found for TaYOx films. The maximum energy storage density was estimated to be ∼5.69 J/cm3. Post deposition annealing (PDA) in O2 ambient at 400 °C has been performed and further improvement in device reliability and electrical performances has been achieved.  相似文献   

13.
In this paper, we report our recent study of the effect of RuO2 as an alternative top electrode for pMOS devices to overcome the serious problems of polysilicon (poly-Si) gate depletion, high gate resistance and dopant penetration in the trend of down to 50 nm devices and beyond. The conductive oxide RuO2, prepared by RF sputtering, was investigated as the gate electrode on the Laser MBE (LMBE) fabricated HfO2 for pMOS devices. Structural, dielectric and electric properties were investigated. RuO2/HfO2/n-Si capacitors showed negligible flatband voltage shift (<10 mV), very strong breakdown strength (>10 MV cm−1). Compared to the SiO2 dielectric with the same EOT value, RuO2/HfO2/n-Si capacitors exhibited at least 4 orders of leakage current density reduction. The work function value of the RuO2 top electrode was calculated to be about 5.0 eV by two methods, and the effective fixed oxide charge density was determined to be 3.3 × 1012 cm−2. All the results above indicate that RuO2 is a promising alternative gate electrode for LMBE grown HfO2 gate dielectrics.  相似文献   

14.
The Pb(Zr0.20Ti0.80)O3/(Pb1−xLax)Ti1−x/4O3 (x = 0, 0.10, 0.15, 0.20) (PZT/PLTx) multilayered thin films were in situ deposited on the Pt(1 1 1)/Ti/SiO2/Si(1 0 0) substrates by RF magnetron sputtering technique with a PbOx buffer layer. With this method, all PZT/PLTx multilayered thin films possess highly (1 0 0) orientation. The PbOx buffer layer leads to the (1 0 0) orientation of the multilayered thin films. The effect of the La content in PLTx layers on the dielectric and ferroelectric properties of the PZT multilayered thin films was systematically investigated. The enhanced dielectric and ferroelectric properties are observed in the PZT/PLTx (x = 0.15) multilayered thin films. The dielectric constant reaches maximum value of 365 at 1 KHz for x = 0.15 with a low loss tangent of 0.0301. Along with enhanced dielectric properties, the multilayered thin films also exhibit large remnant polarization value of 2Pr = 76.5 μC/cm2, and low coercive field of 2Ec = 238 KV/cm.  相似文献   

15.
Interfacial microstructure and electrical properties of HfAlOx films deposited by RF magnetron sputtering on compressively strained Si83Ge17/Si substrates were investigated. HfSiOx-dominated amorphous interfacial layer (IL) embedded with crystalline HfSix nano-particles were revealed by high resolution transmission electron microscopy (HRTEM) and X-ray photoelectron spectroscopy depth profile study. About 280 mV-wide clockwise capacitance-voltage(C-V) hysteresis for the HfAlOx film deposited in Ar + N2 mixed ambient was observed. Oxygen vacancies and interfacial defects in the HfSiOx IL, as well as trapped charges in the boundaries between the HfSix nano-particles and surrounded amorphous HfSiOx may be responsible for the large C-V hysteresis.  相似文献   

16.
Homogeneous ultrathin silica films were deposited without need of any expensive equipment and high-temperature processes (t?200 °C). Repeated adsorption of tetraethoxysilane (TEOS) multimolecular layers and their subsequent reaction with H2O/NH3 mixed vapours at atmospheric pressure and room temperature were used. By preparing the Al/SiO2/N-Si MOS structure conditions were attained for electrical characterisation of the thin oxide layer by capacitance (C-V) and current (I-V) measurements. These measurements confirmed acceptable insulating properties of the oxide, the maximum breakdown field intensity being Ebd=5.4 MV/cm. The total defect charge of the MOS structure was positive, affected by a high trap density at the Si-SiO2 interface.  相似文献   

17.
This study investigates the effects of rapid thermal annealing (RTA) in nitrogen ambient on HfO2 and HfSiOx gate dielectrics, including their electrical characteristics, film properties, TDDB reliability and breakdown mechanism. The optimal temperature for N2 RTA treatment is also investigated. The positive oxide trap charges (oxygen vacancies) in HfO2 and HfSiOx dielectric films can be reduced by the thermal annealing, but as the annealing temperature increased, many positive oxide trap charges (oxygen vacancies) with shallow or deep trap energy level will be formed in the grain boundaries, degrading the electrical characteristics, and changing the breakdown mechanism. We believe that variation in the number of positive oxide trap charges (oxygen vacancies) with shallow or deep trap energy levels is the main cause of the CV shift and difference in the breakdown behaviors between HfO2 and HfSiOx dielectrics. With respect to CV characteristics and TDDB reliability, the optimal temperature for N2 RTA treatment is in the range 500-600 °C and 800-900 °C, respectively.  相似文献   

18.
We investigated the resistive switching characteristics of Ir/TiOx/TiN structure with 50 nm active area. We successfully formed ultra-thin (4 nm) TiOx active layer using oxidation process of TiN BE, which was confirmed by X-ray Photoelectron Spectroscopy (XPS) depth profiling. Compared to large area device (50 μm), which shows only ohmic behavior, 250 and 50 nm devices show very stable resistive switching characteristics. Due to the formation and rupture of oxygen vacancies induced conductive filament at Ir and TiOx interface, bipolar resistive switching was occurred. We obtained excellent switching endurance up to 106 times with 100 ns pulse and negligible degradation of each resistance state at 85 °C up to 104 s.  相似文献   

19.
This study investigates the temperature dependence of the current-voltage (I-V) characteristics of n-MgxZn1−xO/p-GaN junction diodes. The n-MgxZn1−xO films were deposited on p-GaN using a radio-frequency (rf) magnetron sputtering system followed by annealing at 500, 600, 700, and 800 °C in nitrogen ambient for 60 s, respectively. The n-MgxZn1−xO/p-GaN diode at a substrate temperature of 25 °C had the lowest leakage current in reverse bias. However, the leakage current of the diodes increased with an increase in annealing temperatures. The temperature sensitivity coefficients of the I-V characterizations were obtained at different substrate temperatures (25, 50, 75 100, and 125 °C) providing extracted values of 26.4, 27.2, 17.9, and 0.0 mV/°C in forward bias and 168.8, 143.4, 84.6, and 6.4 mV/°C in reverse bias, respectively. The n-MgxZn1−xO/p-GaN junction diode fabricated with MgxZn1−xO annealed at 800 °C demonstrated the lowest temperature dependence. Based on these findings, the n-MgxZn1−xO/p-GaN junction diode is feasible for GaN-based heterojunction bipolar transistors (HBTs).  相似文献   

20.
(Pb1 − xLax)Ti1 − x/4O3(x = 28 mol%, denoted as PLT) thin films were grown on Pt/Ti/SiO2/Si substrates by using a sol-gel process. The Pt/PLT/Pt film capacitor showed well-saturated hysteresis loops at an applied electric field of 500 kV/cm with spontaneous polarization (Ps), remanent polarization (Pr) and coercive electric field (Ec) values of 9.23 μC/cm2, 0.53 μC/cm2 and 19.7 kV/cm, respectively. At 100 kHz, the dielectric constant and dissipation factor of the film were 748 and 0.026, respectively. The leakage current density is lower than 1.0 × 10−7 A/cm2over the electric field range of 0 to 200 kV/cm. And the Pt/PLT interface exist a Schottky emission characteristics.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号