首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
<正> 本文介绍一种利用LH-4主动式红外探测器和LED-4计数、译码、显示一体化组件计数器制作的电子计数器,它可对各种车辆、物件、人体及生产线上的产品进行自动计数。 此计数器中的LH-4主动式红外探测器已在本刊1995年12期上详细介绍过,本文主要介绍LED-4计数、译码、LED显示板一体化组件。 LED-4外形如图1所示。它为3(1/2)位,每字高1.42cm,红色显示。LED-4采用标准的推入自锁式塑料框  相似文献   

2.
为了在给定频率比率的二个信号下产生二进制信号,将第一个高频信号用于第一个上升计数器的记数输入,计数器的最大记数大于频率比率,通过一只解码器--它具有一个表示全程计数的输出,一个表示频率比率计数前计数的计数输出和一个表示频率比率计数的输出,可监视在连续计数高量程中,第一个上升计数器记数所达到的值,其中连续计数包括频率比率记数和最大记数,第一个输出与电子闭合开关控制输入端相连;第二个输出与单稳态多谐振荡器的触发脉冲输入相连,第三个输出与电子开关的二个信号输入之一相连,而电子开关的另一个信号输入与闭合开关的输出相连,多谐振荡器的输出与升降计数器的升降控制输入连接,升降计数器的低计数输出和高计数输出分别与RS触发器的R输入和S输入耦合。振荡器的Q输出与开关的控制输入相接。当开关输出与第一个上升计数器的复位输入耦合时,最大计数锁定的第二上升计数器起始-复位输入与触发器的Q输出相连,第二个信号分别加到闭路接点的信号输入端及第二上升计数器的Q输出相连,第二个信号分别加到闭路接点的信号输入端及第二上升计数器和升降计数器的记数输入端,二进位信号出现在第二上升记数器的最大计数输出端。  相似文献   

3.
彭刚  卞保民  陆建 《激光技术》2010,34(1):63-66
为了研究激光尘埃粒子计数器计数信号幅度概率分布,对激光尘埃粒子计数器计数信号幅度概率分布与传感器光敏区光强分布、采样气流中的粒子数密度、气体层流速度分布之间的关系进行了理论分析。采用自行设计的带保护气套的光电传感器测定粒子计数信号幅度概率分布,给出的分布模型与理论分析相吻合。结果表明,尘埃粒子计数器计数信号幅度概率分布由传感器光敏区光强分布和粒子数密度空间分布共同决定,这为尘埃粒子计数器的设计提供了理论依据。  相似文献   

4.
俞虹 《无线电》2022,(2):46-52
CD40192是一中十进制计数器集成电路,它不仅可以进行加计数,还可以进行减计数,并有清零端CR和预置数端LD,以及进位端CO和借位端BO.当LD为低电平时,可以将D0~D3组成的二进制数推送到QO~Q3端.CD40192相对其他计数器有更多的应用,这里我们用三极管制作这种计数器,通过制作,我们对这种计数器的内部结构和...  相似文献   

5.
尘埃粒子计数器计数损失的分析研究   总被引:2,自引:0,他引:2  
光散射式尘埃粒子计数器是用来测量空气洁净度的重要仪器,粒子计数器的主要测量误差为重叠损失误差。本文介绍了产生计数损失误差的原因,应用Poisson随机过程分析确定了粒子计数器的计数损失,并提出了测量计数损失的实验方法。最后,给出使用粒子计数器测量中减少计数损失的方法。  相似文献   

6.
数字电子钟是一个对标准频率(1Hz)进行计数的计数电路。由振荡电路形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以"时"、"分"、"秒"的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。由振荡电路、计数器、数码显示器、校时电路、整点报时电路等几部分组成。  相似文献   

7.
可变模计数器作为一种基本数字电路模块,在各种数字系统中应用广泛.在对现有的可变模计数器的研究基础上,在QuartusⅡ开发环境中,用VHDL语言设计一种功能更加强大的可变模计数器,它具有清零、置数、使能控制、可逆计数和可变模等功能,并且对传统的可变模计数器的计数失控问题进行研究,最终设计出一种没有计数失控缺陷的可变模计数器,并以ACEX1K系列EP1K30QC208芯片为硬件环境,验证了其各项设计功能.结果表明该设计正确,功能完整,运行稳定.  相似文献   

8.
CMOS计数器由触发器和门电路组成,包括同步型和非同步型两大类,计数方式有加法计数器,减法计数器,可预置数可逆计数器,可预置数1/N计数器,任意进制计数器,环形计数器等.非同步型计数器是一种串联工作的基本计数器,它的特点是结构简单,计数速度较低,因它不是受同一时钟(CL)控制下工作,所以称它为非同步型计数器.同步型计数器是一种并联工作的计数器,消除了非同步计数器累积的触发延迟,在同步型计数器中,所有触发器是在同一时钟(CL)作用下工作,所以称为同步型的,同步型计数器比非同步  相似文献   

9.
PLC高速计数器功能的应用技术   总被引:2,自引:0,他引:2  
以OMRON公司的CPM1A为例,介绍了PLC的高速计数器功能的地址分配、功能设置、计数模式,并举例说明了高速计数器功能在实际中的应用。  相似文献   

10.
以前的一个设计实例曾巧妙地利用了4017CMOS计数器的功能,它可以接受正、负沿的时钟信号.虽然一次只能让两个LED发光(参考文献1)。可是,如果希望有19个以上计数该怎么办?翻翻过去的一些CMOS数据书,可以发现一种使用4017计数器实现顺序显示的电路。但是.这种办法牺牲了一些输出,第一个计数器有9个输出.而其后的每个计数器只有8个输出。另外它还要求在各个计数器级之间加一个与门。  相似文献   

11.
智能仪器的量程自动转换设计   总被引:2,自引:0,他引:2  
给出了一个以较新思路设计的仪表量程自动转换逻辑电路:该电路的控制逻辑采用计数器分别对电路中A/D转换器发出的过量程脉冲(OR)和欠量程信号(UR)进行计数,并用计数器的输出作为量程编码去直接控制量程选择,同时它又作为量程指示信号。整个电路的全部逻辑在一片PLD中实现,而且系统稳定可靠。  相似文献   

12.
(六)计数器计数器应用十分广泛,不仅可以计数,而且还可用作数字系统中的定时电路、时间测量、分频和执行数字运算等。CMOS计数器种类较多,若按计数器中数字的编码方式,可分为二进制计数器和十进制计数器;若按计数器计数功能,可分为加法计数器、减法计数器和既可作加法又可作减法的可递计数器(又称加减计数器);若按计数器工作方式,又可分为同步计数器、异步计数器、行波计数器和环型计数器等。由于计数器和其它数字电路一样,采用的是二进制数,所以下面先介绍什么是二进制数,然后再介绍常用计数器的功能原理及应用电路。  相似文献   

13.
该数字频率计主要由74系列集成电路组成,它除具有基本的计频功能外,还具有对信号进行放大整形、选择时基信号,自动清零,自动换挡等功能。将待测频率的信号加入到信号输入端,与时基信号经闸门电路送入低位计数器的脉冲端开始计数,利用时基信号的下降沿经反相器去控制锁存器74HC273,将信号馈存,并用时基信号的低电平去给计数器清零,达到了很好的效果,以此实现计数.清零,换挡的功能。并通过Proteus仿真软件验证了设计的正确性。  相似文献   

14.
本文介绍一种使用单片机制作的双向多功能6位计数器,其面板框图如图1所示。该计数器电路原理如图2所示。它极大地方便了需要交替或者同时使用加数功能和减数功能的场合,例如:在某些生产线上,一方面进行合格品的自动累加计数,另一方面又要扣除从检验岗位返回的不合格品的数字,完成这种功能,通常需要使用两只计数器.还要经过计算才可以得到结果;现在只需要使用本文介绍的计数器就能够同时完成加数和减数的工作,而且即时得到结果的数字。现将该计数器介绍如下。  相似文献   

15.
本文给出的彩色条状信号产生器是为“饱和”试验彩色视频监视器而设计的。它具有低成本、小尺寸和元件少的特点。彩色条状信号产生器的核心是一个74LS90十进制计数器(见图1)。用它的输出做为彩色显示(见表1)。如果用图1中的IC3直接10分频,则会在某些监视器中产生误差。技术上用后沿上的黑电平钳位校正监视器。后沿的定义是同步脉冲之后有效视频开始之前的时段。若计数器允许计数到10,则在该时段存在兰色条状。这将被监视器钳位,所以不显示兰色。为避免此情况发生,在第9个计数时复位计数器。定时器IC2是水平信号振荡器,其频率是线频…  相似文献   

16.
介绍了一种弱电流测量中的电流一频率(I—F)变换电路,它将输入的电流信号直接变换为脉冲频率输出,然后送入计数器计数和计算机处理。并且对其电路原理作了详细的阐述。经过在现场检验和使用,该电路具有工作稳定可靠,灵敏度高,抗干扰能力强等特点。  相似文献   

17.
我们的“电子技术”课程使用华中工学院编的教材。教材中对同步计数器的设计方法作了详细介绍而对非二进制异步计数器则涉及很少。而异步计数器在工业自动化领域内使用较多。因此我们在教学实践中作了一些探讨。即把同步计数的设计方法搬来使用。结果表明设计一个非二进制异步计数器比设计一个非二进制同计数器还简便得多。在学生学完非二进制同步计数器的设计之后,再加一个学时即可掌握非二进制异步计数器的设计。这里,原将它  相似文献   

18.
胡滨 《现代电子技术》2007,30(16):177-178,181
计数器是大规模集成电路中运用最广泛的结构之一。在模拟及数字集成电路设计当中,灵活地选择与使用计数器可以实现很多复杂的功能,可以大量减少电路设计的复杂度和工作量。讨论了一种可预置加减计数器的设计,运用Verilog HDL语言设计出了一种同步的可预置加减计数器,该计数器可以根据控制信号分别实现加法计数和减法计数,从给定的预置位开始计数,并给出详细的VerilogHDL源代码。最后,设计出了激励代码对其进行仿真验证,实验结果证明该设计符合功能要求,可以实现预定的功能。  相似文献   

19.
孟升卫付平  齐家庆 《电子学报》2005,33(B12):2406-2408
本文基于同余理论,建立了模数互质并联同步计数器模型,给出了模数互质并联同步计数器计数值的解析公式.提出了基于中规模同步计数器构建大模数高速同步计数器的方法.实验证明,该方法有效地提高了大模数同步计数器的速度.  相似文献   

20.
刘彦磊  王志锋 《电子测试》2016,(13):124-125
本文基于对铸造厂缸盖车间冷却道自动换道进行的技术改造,介绍了PLC计数器在冷却道自动换道中的应用。通过应用计数器对冷却道中通过的砂箱台数进行计数,并进行编程,通过PLC程序控制整条冷却道的运行,从而达到自动换道的目的。通过现场生产实践,完全达到了预期目的。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号