首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
R. Knizikevi?ius 《Vacuum》2006,81(3):230-233
The reactive ion etching (RIE) of silicon in CF4+H2 plasma is considered. The influence of activated polymer on the RIE rate of silicon in CF4+H2 plasma is determined by extrapolation of experimentally measured kinetics of the etching rate. It is found that increased adsorption of CF2 radicals suppresses the RIE rate of silicon in CF4+H2 plasma during the initial stages of the etching process. The formation of activated polymer becomes pronounced when adsorbed CF2 radicals are slowly activated. The activated polymer intensifies the etching reaction and enhances the etching rate. C atoms, produced during the reaction, contribute to the formation of polymer on the surface. The increased concentration of the polymer suppresses the RIE rate of silicon in CF4+H2 plasma at later stages of the etching process.  相似文献   

2.
R. Knizikevi?ius 《Vacuum》2008,82(11):1191-1193
The reactive ion etching (RIE) of Si and SiO2 in CF4 plasma is considered. The dependences of RIE rates of Si and SiO2 on pressure have maxima due to the presence of single-atom vacancies. The RIE rates approach the maximum values at different pressures but at the same concentration of SiF and SiOF molecules in the adsorbed layer. Using the obtained results Si/SiO2 etching selectivity is investigated.  相似文献   

3.
In this article, we report the results obtained from a study carried out on the inductively coupled plasma (ICP) etching of poly-monochloro-para-xylylene (parylene-C) thin films using an O2/CF4 gas mixture. The effects of adding CF4 to the O2 plasma on the etch rates were investigated. As the CF4 gas fraction increases up to approximately 16%, the polymer etch rate increases in the range of 277-373 nm/min. In this work, the atomic force microscopy (AFM) analysis indicated that the surface roughness was reduced by the addition of CF4 to the O2 plasma. Contact angle measurements showed that the surface energy decreases with increasing CF4 fraction. At the same time, X-ray photoelectron spectroscopy (XPS) demonstrated the increase in the relative F atomic content on the surface.  相似文献   

4.
R. Knizikevi?ius 《Vacuum》2009,83(6):953-189
Chemical etching of Si and SiO2 in SF6 + O2 plasma is considered. The concentrations of plasma components are calculated using values extrapolated from experimental data. Resulting calculations of plasma components are used for the calculation of Si and SiO2 etching rates. It is found that the reaction constants for reactions of F atoms with Si atoms and SiO2 molecules are equal to (3.5 ± 0.1) × 10−2 and (3.0 ± 0.1) × 10−4, respectively. The influence of O2 addition to SF6 plasma on the etching rate of Si is quantified.  相似文献   

5.
Ar + H2 plasma cleaning has been described for the surface modification of the steel substrates, which removes oxides and other contaminants from substrate surface effectively leading to a better adhesion of the physical vapor deposited (PVD) coatings. Approximately 1.1-1.3 μm thick TiAlN coatings were deposited on plasma treated (Ar and Ar + H2) and untreated mild steel (MS) substrates. A mechanism has been put forward to explain the effect of plasma treatment on the substrate surface based upon the data obtained from X-ray photoelectron spectroscopy (XPS), field-emission scanning electron microscopy (FESEM) and atomic force microscopy (AFM). The XPS measurements on untreated and Ar + H2 plasma etched MS substrates indicated that the untreated substrate surface mainly consisted of Fe3O4, whereas, after etching the concentration of oxides decreased considerably. The FESEM and the AFM results showed changes in the surface morphology and an increase in the substrate roughness as a result of Ar + H2 plasma etching. Removal of oxide/contaminants, formation of coarser surface and increased substrate surface roughness as a result of Ar + H2 plasma etching facilitate good mechanical interlocking at the substrate surface, leading to a better adhesion of the deposited PVD coatings. The adhesion of TiAlN coating could be increased further by incorporating a very thin Ti interlayer.  相似文献   

6.
The reactive ion etching of silicon in SF6 plasma is considered. During the experiment, silicon substrates are etched in SF6 plasma at different pressures and energies of incident ions. High etching anisotropy is achieved decreasing the pressure in the reactor and increasing the energy of the bombarding ions. The obtained experimental measurements are compared with theoretical calculations. It is determined that the temperature of the sidewalls decreases with the decrease of concentration of F atoms due to suppressed plasmochemical etching of silicon. The etching anisotropy increases with the decrease of concentration of F atoms due to decreased desorption of SiF4 molecules.  相似文献   

7.
We report a comparison of dry etching of polymethyl methacrylate (PMMA) and polycarbonate (PC) in O2 capacitively coupled plasma (CCP) and inductively coupled plasma (ICP). A diffusion pump was used as high vacuum pump in both cases. Experimental variables were process pressure (30-180 mTorr), CCP power (25-150 W) and ICP power (0-350 W). Gas flow rate was fixed at 5 sccm. An optimized process pressure range of 40-60 mTorr was found for the maximum etch rate of PMMA and PC in both CCP and ICP etch modes. ICP etching produced the highest etch rate of 0.9 μm/min for PMMA at 40 mTorr, 100 W CCP and 300 W ICP power, while 100 W CCP only plasma produced 0.46 μm/min for PMMA at the same condition. For polycarbonate, the highest etch rates were 0.45 and 0.27 μm/min, respectively. RMS surface roughnesses of PMMA and PC were about 2-3 nm after etching. Etch selectivity of PMMA over photoresist was 1-2 and that of PC was less than 1. When ICP power increased from 0 to 350 W, etch rates of PMMA and PC increased linearly from 0.47 to 1.18 μm/min and from 0.18 to 0.6 μm/min, while the negative self bias slightly reduced from 364 to 352 V. Increase of CCP power raised both self bias and PMMA etch rate. PMMA etch rates were about 3 times higher than those of PC at the same CCP conditions. SEM data showed that there was some undercutting of PMMA and PC after etching at 300 W ICP, 100 W CCP and 40 mTorr. The results also showed that the etched surface of PMMA was rough and that of PC was relatively smooth.  相似文献   

8.
In this study, the influence of the duration of CF4 plasma treatment of rapid thermal annealing on high-k Er2O3 dielectrics deposited on polycrystalline silicon was investigated using electrical and material analyses. Results demonstrate that Er2O3 dielectric films annealed at 800 °C and plasma treated with CF4 for a period of 1 min exhibited excellent dielectric performance, including a higher breakdown electric field, lower charge trapping rate, and a larger charge-to-breakdown than the as-deposited sample. Performance improvements were caused by the incorporation of fluorine atoms and the reduction of dangling bonds and defect traps.  相似文献   

9.
In order to develop sustainable processes for clean manufacturing environment for thin film or other solar cell production, we studied the hydrogen etching of silicon nitride (Si3N4) films on flat crystalline silicon (c-Silicon) substrates. With an arrangement primarily constructed for hot wire CVD (HWCVD) deposition of thin silicon films also cleaning processes with atomic hydrogen were studied with a simplified three wire assembly. The three filaments could be biased independently by different potential. A variation of hydrogen pressure and flow was performed to find out conditions of high etching rates for the Si3N4 layers. The etching rate was simply determined by measuring the time for total removal of the film, since this could be easily detected by the change of the anti-reflection property. Etching rates of 0.1 nm/s have been obtained under 15 Pa and a flow of 50 sccm. An intensive study was carried out of the direct current (DC) plasma hot wire CVD conditions.  相似文献   

10.
B.S. Kwon 《Thin solid films》2010,518(22):6451-6454
Highly selective etching of a SiO2 layer using a chemical vapor deposited (CVD) amorphous carbon (a-C) mask pattern was investigated in a dual-frequency superimposed capacitively coupled plasma etcher. The following process parameters of the C4F8/CH2F2/O2/Ar plasmas were varied: the CH2F2/(CH2F2 + O2) flow ratio (Q(CH2F2)), the high frequency power (PHF), and the low frequency power (PLF). It was found a process window exists to obtain infinitely high etch selectivity of the SiO2 layer to the CVD a-C. The process parameters of Q(CH2F2), PHF, and PLF played critical roles in determining the process window for oxide/CVD a-C etch selectivity, presumably due to the disproportionate degree of polymerization on the SiO2 and CVD a-C surfaces.  相似文献   

11.
The influence of plasma heating of the Si and glass wafer substrates on silicon dioxide (SiO2) deposition rates by a tetraethylorthosilicate/O2 supermagnetron (high-density) plasma CVD were investigated. With a fixed RF power of 100 W supplied to both upper and lower electrodes, the SiO2 deposition rate on the Si wafer substrate decreased with increasing wafer-stage temperature, showing a negative activation energy for the deposition rate. When Si and glass wafers were attached to the electrode using adherent thermal conductors, the film thickness increased almost linearly with regard to the deposition time, and both deposition rates became almost the same (about 310 Å/min). When both wafers were simply laid on the electrode without an adhesive bond and hence with poor thermal contact, the film thickness increased nonlinearly with deposition time, showing a gradual decrease in deposition rate with time, being as low as 80 and 150 Å/min, respectively for Si and glass wafers, for a deposition time of 15 min. The difference between the two deposition rates on Si and glass wafers in the case of poor thermal contact to the lower electrode is thought to be caused by plasma heating and related mainly to differences in optical absorption characteristics of the two wafer substrates. Variations in measured thickness distributions across the substrate surface were attributed to an antisymmetric plasma density distribution in the direction perpendicular to the magnetic field lines caused by E×B electron drift.  相似文献   

12.
Etching characteristics and the mechanism of HfO2 thin films in Cl2/Ar inductively-coupled plasma were investigated. The etch rate of HfO2 was measured as a function of the Cl2/Ar mixing ratio in the range of 0 to 100% Ar at a fixed gas pressure (6 mTorr), input power (700 W), and bias power (300 W). We found that an increase in the Ar mixing ratio resulted in a monotonic decrease in the HfO2 etch rate in the range of 10.3 to 0.7 nm/min while the etch rate of the photoresist increased from 152.1 to 375.0 nm/min for 0 to 100% Ar. To examine the etching mechanism of HfO2 films, we combined plasma diagnostics using Langmuir probes and quadrupole mass spectrometry with global (zero-dimensional) plasma modeling. We found that the HfO2 etching process was not controlled by ion-surface interaction kinetics and formally corresponds to the reaction rate-limited etch regime.  相似文献   

13.
The novel Co3O4 cubic nanoframes, sized in ca. 30 nm, were firstly fabricated via a facile solvothermal route. Based on the transmission electron microscopy and the powder X-ray diffraction analyses of the time-dependent products, a mechanism of facet-preferential chemical etching of Fe3+ ions to the pre-synthesized Co3O4 nanocubes is proposed for the formation of Co3O4 cubic nanoframes. This synthetic strategy can probably be extended to fabricate nanoframes of some other binary metal oxides, by designing similar chemical etching process.  相似文献   

14.
Silicon carbide was etched in a NF3/CH4 inductively coupled plasma. Surface roughness measured by atomic force microscopy was investigated as a function of process parameters. Both etch rate and dc bias were correlated to the surface roughness. To optimize the surface roughness, a 24 full factorial experiment was conducted for 700-900 W source power, 50-150 W bias power, 0.80-1.60 Pa, and 20-100% NF3 percentage. Main effect analysis revealed that the surface roughness is the most strongly affected by the bias power. For variations in the bias power or NF3 percentage, decrease in the surface roughness was observed only as positive variations in the etch rate and dc bias are considerably large. The surface roughness with the pressure was chemically dominated as illustrated by its inverse relationship with the dc bias. For the variations in the NF3 percentage, the radical variation was estimated to play a more dominant role. The smoothest surface roughness of 0.312 nm was obtained at 700 W source power, 150 W bias power, 1.60 Pa pressure, and 100% NF3 percentage.  相似文献   

15.
We investigated the N2 additive effect on the etch rates of TiN and SiO2 and etch profile of TiN in N2/Cl2/Ar adaptively coupled plasma (ACP). The mixing ratio of Cl2 and Ar was fixed at 75 and 25 sccm, respectively. The N2 flow rate was increased from 0 to 9 sccm under the constant pressure of 10 mTorr. As N2 flow rate was increased in N2/Cl2/Ar plasma, the etch rate of TiN was linearly increased, but that of SiO2 was increased non-monotonically. The etch profile and the compositional changes of TiN was investigated with field emission-scanning electron microscope (FE-SEM), FE-Auger electron spectroscopy (FE-AES) and x-ray photoelectron spectroscopy (XPS). When 9 sccm N2 was added into Cl2/Ar, a steep etch profile and clean surface of TiN was obtained. In addition, the signals of TiN and Ti were disappeared in FE-AES and XPS when N2 additive flow into Cl2/Ar was above 6 sccm. From the experimental data, the increase in TiN etch rate was mainly caused by the increase of desorption and evacuation rate of etch by products because of the increased effective pumping speed. The etch mechanism of TiN in N2/Cl2/Ar ACP plasma can be concluded as the ion enhanced chemical etch.  相似文献   

16.
In this study, we present a facile route to fabricate GaN nanorods by employing the nanosphere lithography (NSL) technique. Compared to previous approaches, it was demonstrated that arrays of silica (SiO2) nanospheres can be effectively used as etching masks for the inductively coupled plasma etching process. By adjusting the etching conditions between SiO2 nanospheres and GaN substrates, well-defined nanorods, which were as long as a few microns with controllable diameters, were successfully fabricated. This method is much simpler than any other technique currently being used, and can be generally applied to fabricate various types of nanorods.  相似文献   

17.
R. Knizikevi?ius 《Vacuum》2004,72(4):481-484
The plasmochemical etching of silicon in CF4+O2 plasma is considered. The chemical composition of plasma and values of reaction rate constants, determined in previous works, were used to calculate the etched groove profiles at real dimensions. The profiles of etched grooves are calculated as a function of mask dimensions, fluxes of chemically active components and reemission of chemically active components from the surface of groove. The etching anisotropy is reduced when the reemission of F and O atoms from the surface takes place. The increase of etching anisotropy at high O2 content in the feed (>60%) is explained by the complete sidewall oxidation and decreased concentration of F atoms in the plasma. The influence of reemission of F and O atoms on the shape of etched grooves becomes pronounced for mask width >1 μm.  相似文献   

18.
M.H. Shin  S.H. Jung  N.-E. Lee 《Thin solid films》2007,515(12):4950-4954
Effect of doping elements on the etching characteristics of doped-ZnO (Ag, Li, and Al) thin films, etched with a positive photoresist (PR) mask, and an etch process window for infinite etch selectivity were investigated by varying the CH4 flow ratio and self-bias voltage, Vdc, in inductively coupled CH4/H2/Ar plasmas. Increased doping of ZnO films decreased the etch rates significantly presumably due to lower volatility of reaction by-products of doped Li, Ag, and Al in CH4/H2/Ar plasmas. The etch rate of AZO (Al-doped ZnO) was most significantly decreased as the doping concentration is increased from 4 to 10 wt%. It was found that process window for infinite etch selectivity of the doped ZnO to the PR is closely related to a balance between deposition and removal processes of a-C:H (amorphous hydrogenated carbon) layer on the doped-ZnO surface. Measurements of optical emission of the radical species in the plasma and surface binding states by optical emission spectroscopy (OES) and X-ray photoelectron spectroscopy (XPS), respectively, implied that the chemical reaction of CH radicals with Zn atoms in doped-ZnO play an important role in determining the doped-ZnO etch rate together with an ion-enhanced removal mechanism of a-C:H layer as well as Zn(CHx)y etch by-products.  相似文献   

19.
20.
Xue-Yang 《Thin solid films》2010,518(22):6441-6445
In this study, the etching characteristics of ALD deposited Al2O3 thin film in a BCl3/N2 plasma were investigated. The experiments were performed by comparing the etch rates and the selectivity of Al2O3 over SiO2 as functions of the input plasma parameters, such as the gas mixing ratio, the DC-bias voltage, the RF power, and the process pressure. The maximum etch rate was obtained at 155.8 nm/min under a 15 mTorr process pressure, 700 W of RF power, and a BCl3 (6 sccm)/N2 (14 sccm) plasma. The highest etch selectivity was 1.9. We used X-ray photoelectron spectroscopy (XPS) to investigate the chemical reactions on the etched surface. Auger electron spectroscopy (AES) was used for the elemental analysis of the etched surfaces.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号