首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
In this paper, a new friction-induced nanofabrication method is presented to fabricate protrusive nanostructures on quartz surfaces through scratching a diamond tip under given normal loads. The nanostructures, such as nanodots, nanolines, surface mesas and nanowords, can be produced on the target surface by programming the tip traces according to the demanded patterns. The height of these nanostructures increases with the increase of the number of scratching cycles or the normal load. Transmission electron microscope observations indicated that the lattice distortion and dislocations induced by the mechanical interaction may have played a dominating role in the formation of the protrusive nanostructures on quartz surfaces. Further analysis reveals that during scratching, a contact pressure ranged from 0.4Py to Py (Py is the critical yield pressure of quartz) is apt to produce protuberant nanostructures on quartz under the given experimental conditions. Finally, it is of great interest to find that the protrusive nanostructures can be selectively dissolved in 20% KOH solution. Since the nanowords can be easily 'written' by friction-induced fabrication and 'erased' through selective etching on a quartz surface, this friction-induced method opens up new opportunities for future nanofabrication.  相似文献   

2.
As a maskless nanofabrication technique, friction-induced selective etching can easily produce nanopatterns on a Si(100) surface. Experimental results indicated that the height of the nanopatterns increased with the KOH etching time, while their width increased with the scratching load. It has also found that a contact pressure of 6.3 GPa is enough to fabricate a mask layer on the Si(100) surface. To understand the mechanism involved, the cross-sectional microstructure of a scratched area was examined, and the mask ability of the tip-disturbed silicon layer was studied. Transmission electron microscope observation and scanning Auger nanoprobe analysis suggested that the scratched area was covered by a thin superficial oxidation layer followed by a thick distorted (amorphous and deformed) layer in the subsurface. After the surface oxidation layer was removed by HF etching, the residual amorphous and deformed silicon layer on the scratched area can still serve as an etching mask in KOH solution. The results may help to develop a low-destructive, low-cost, and flexible nanofabrication technique suitable for machining of micro-mold and prototype fabrication in micro-systems.  相似文献   

3.
In the present study, a friction-induced selective etching method was developed to produce nanostructures on GaAs surface. Without any resist mask, the nanofabrication can be achieved by scratching and post-etching in sulfuric acid solution. The effects of the applied normal load and etching period on the formation of the nanostructure were studied. Results showed that the height of the nanostructure increased with the normal load or the etching period. XPS and Raman detection demonstrated that residual compressive stress and lattice densification were probably the main reason for selective etching, which eventually led to the protrusive nanostructures from the scratched area on the GaAs surface. Through a homemade multi-probe instrument, the capability of this fabrication method was demonstrated by producing various nanostructures on the GaAs surface, such as linear array, intersecting parallel, surface mesas, and special letters. In summary, the proposed method provided a straightforward and more maneuverable micro/nanofabrication method on the GaAs surface.  相似文献   

4.
A new fabrication method is proposed to produce nanostructures on monocrystalline silicon based on the friction-induced selective etching of its Si3N4 mask. With low-pressure chemical vapor deposition (LPCVD) Si3N4 film as etching mask on Si(100) surface, the fabrication can be realized by nanoscratching on the Si3N4 mask and post-etching in hydrofluoric acid (HF) and potassium hydroxide (KOH) solution in sequence. Scanning Auger nanoprobe analysis indicated that the HF solution could selectively etch the scratched Si3N4 mask and then provide the gap for post-etching of silicon substrate in KOH solution. Experimental results suggested that the fabrication depth increased with the increase of the scratching load or KOH etching period. Because of the excellent masking ability of the Si3N4 film, the maximum fabrication depth of nanostructure on silicon can reach several microns. Compared to the traditional friction-induced selective etching technique, the present method can fabricate structures with lesser damage and deeper depths. Since the proposed method has been demonstrated to be a less destructive and flexible way to fabricate a large-area texture structure, it will provide new opportunities for Si-based nanofabrication.  相似文献   

5.
We present a facile method to fabricate one-dimensional Si nanostructures based on Ag-induced selective etching of silicon wafers. To obtain evenly distributed Si nanowires (SiNWs), the fabrication parameters have been optimized. As a result, a maximum of average growth rate of 0.15 μm/min could be reached. Then, the fabricated samples were characterized by water contact angle (CA) experiments. As expected, the as-etched silicon samples exhibited a contact angle in the range of 132°–136.5°, whereas a higher contact angle (145°) could be obtained by chemical modification of the SiNWs with octadecyltrichlorosilane (OTS). Additionally, Raman spectra experiments have been carried out on as-prepared nanostructures, showing a typical decreasing from 520.9 cm?1 to 512.4 cm?1 and an asymmetric broadening, which might be associated with the phonon quantum confinement effect of Si nanostructures.  相似文献   

6.
Although monocrystalline silicon reveals strong anisotropic properties on various crystal planes, the friction-induced nanofabrication can be successfully realized on Si(100), Si(110), and Si(111) surfaces. Under the same loading condition, the friction-induced hillock produced on Si(100) surface is the highest, while that produced on Si(111) surface is the lowest. The formation mechanism of hillocks on various silicon crystal planes can be ascribed to the structural deformation of crystal matrix during nanoscratching. The silicon crystal plane with lower elastic modulus can lead to larger pressed volume during sliding, facilitating more deformation in silicon matrix and higher hillock. Meanwhile, the structures of Si-Si bonds on various silicon crystal planes show a strong effect on the hillock formation. High density of dangling bonds can cause much instability of silicon surface during tip disturbing, which results in the formation of more amorphous silicon and high hillock during the friction process. The results will shed new light on nanofabrication of monocrystalline silicon.  相似文献   

7.
In nanoimprint lithography, a surface oxidation process is needed to produce an effective poly(dimethylsiloxane) coating that can be used as an anti-adhesive surface of template molds. However, the conventional photooxidation technique or acidic oxidative treatment cannot be easily applied to polymer molds with nanostructures since surface etching by UV radiation or strong acids significantly damages the surface nanostructures in a short space of time. In this study, we developed a basic oxidative treatment method and consequently, an effective generation of hydroxyl groups on a nanostructured surface of polymer replica molds. The surface morphologies and water contact angles of the polymer molds indicate that this new method is relatively nondestructive and more efficient than conventional oxidation treatments.  相似文献   

8.
To realize the nanofabrication of silicon surfaces using atomic force microscopy (AFM), we investigated the etching of mechanically processed oxide masks using potassium hydroxide (KOH) solution. The dependence of the KOH solution etching rate on the load and scanning density of the mechanical pre-processing was evaluated. Particular load ranges were found to increase the etching rate, and the silicon etching rate also increased with removal of the natural oxide layer by diamond tip sliding. In contrast, the local oxide pattern formed (due to mechanochemical reaction of the silicon) by tip sliding at higher load was found to have higher etching resistance than that of unprocessed areas. The profile changes caused by the etching of the mechanically pre-processed areas with the KOH solution were also investigated. First, protuberances were processed by diamond tip sliding at lower and higher stresses than that of the shearing strength. Mechanical processing at low load and scanning density to remove the natural oxide layer was then performed. The KOH solution selectively etched the low load and scanning density processed area first and then etched the unprocessed silicon area. In contrast, the protuberances pre-processed at higher load were hardly etched. The etching resistance of plastic deformed layers was decreased, and their etching rate was increased because of surface damage induced by the pre-processing. These results show that etching depth can be controlled by controlling the etching time through natural oxide layer removal and mechanochemical oxide layer formation. These oxide layer removal and formation processes can be exploited to realize low-damage mask patterns.  相似文献   

9.
We report the fabrication of broadband antireflective silicon (Si) nanostructures fabricated using spin-coated silver (Ag) nanoparticles as an etch mask followed by inductively coupled plasma (ICP) etching process. This fabrication technique is a simple, fast, cost-effective, and high-throughput method, making it highly suitable for mass production. Prior to the fabrication of Si nanostructures, theoretical investigations were carried out using a rigorous coupled-wave analysis method in order to determine the effects of variations in the geometrical features of Si nanostructures to obtain antireflection over a broad wavelength range. The Ag ink ratio and ICP etching conditions, which can affect the distribution, distance between the adjacent nanostructures, and height of the resulting Si nanostructures, were carefully adjusted to determine the optimal experimental conditions for obtaining desirable Si nanostructures for practical applications. The Si nanostructures fabricated using the optimal experimental conditions showed a very low average reflectance of 8.3%, which is much lower than that of bulk Si (36.8%), as well as a very low reflectance for a wide range of incident angles and different polarizations over a broad wavelength range of 300 to 1,100 nm. These results indicate that the fabrication technique is highly beneficial to produce antireflective structures for Si-based device applications requiring low light reflection.  相似文献   

10.
Both self-cleanability and antireflectivity were achieved on quartz surfaces by forming heptadecafluoro-1,1,2,2-tetrahydrodecyltrichlorosilane self-assembled monolayer after fabrication of nanostructures with a mask-free method. By exposing polymethylmethacrylate spin-coated quartz plates to O2 reactive ion etching (RIE) and CF4 RIE successively, three well-defined types of nanopillar arrays were generated: A2, A8, and A11 patterns with average pillar widths of 33 ± 4 nm, 55 ± 5 nm, and 73 ± 14 nm, respectively, were formed. All the fabrication processes including the final cleaning can be finished within 4 h. All nanostructured quartz surfaces exhibited contact angles higher than 155° with minimal water droplet adhesiveness and enhanced transparency (due to antireflectivity) over a broad spectral range from 350 to 900 nm. Furthermore, A2 pattern showed an enhanced antireflective effect that extends to the deep-UV range near 190 nm, which is a drawback region in conventional thin-film-coating approaches as a result of thermal damage. Because, by changing the conditions of successive RIE, the geometrical configurations of nanostructure arrays can be easily modified to meet specific needs, the newly developed fabrication method is expected to be applied in various optic and opto-electrical areas.PACS codes: 06.60.Ei; 81.65.Cf; 81.40.Vw.  相似文献   

11.
ABSTRACT: A simple method for the fabrication of porous silicon (Si) by metal-assisted etching was developed using gold nanoparticles as catalytic sites. Etching masks were prepared by spin-coating of colloidal gold nanoparticles onto Si. Appropriate functionalization of the gold nanoparticle surface prior to the deposition step enabled the formation of quasi-hexagonally ordered arrays by self-assembly which were translated into an array of pores by subsequent etching in a HF solution containing H2O2. The quality of the pattern transfer depended on the chosen preparation conditions for the gold nanoparticle etching mask. The influence of the Si surface properties were investigated by using either hydrophilic or hydrophobic Si substrates resulting from piranha solution or HF treatment, respectively. The polymer coated gold nanoparticles had to be thermally treated in order to provide direct contact at the metal/Si interface which is required for the following metal-assisted etching. Plasma-treatment as well as flame annealing were successfully applied. Best results were obtained for Si substrates which were treated with HF prior to spin-coating and flame annealed in order to remove the polymer matrix. The presented method opens up new resources for the fabrication of porous silicon by metal-assisted etching. Here, the vast variety of metal nanoparticles accessible by well-established wet-chemical synthesis can be employed for the fabrication of the etching masks.  相似文献   

12.
Colloidal lithography is an effective and facile strategy for highly ordered nanostructure arrays that is a simple, inexpensive, and high-throughput process with a broad choice of materials in manufacturing various lithographically patterned nanostructures on substrates. To develop such nanostructured systems, various nanofabrication techniques are employed on two-dimensional (2D) colloidal masks for evaporation, electrochemical deposition, etching, dewetting and mask replication. Ordered nanostructures associated with feature shapes and sizes can be diversified through a choice of methodology and a control of experimental conditions. This review presents an overview of colloidal crystals as a mask and nanostructure arrays (nanopillars, nanoring, nanopores) fabricated by colloidal lithography as well as introducing practical applications using ordered nanostructures.  相似文献   

13.
We have successfully fabricated nano-structures on passivated Si surfaces and investigated those structures by using scanning tunneling microscope (STM) and atomic force microscope (AFM). Ag nano-dots were formed on Sb-passivated Si(100) surface via self-organization mechanism and the single-electron charging effect was observed by STM at room temperature. Thermal nitridation and subsequent oxygen-induced etching of Si surfaces resulted in the formation of silicon nano-dots using silicon nitride islands as masks. Au/Ti nano-wire was also fabricated via a selective ion etching of Au/Ti thin film using carbon nanotube (CNT) mask. These results suggest new fabrication method of nano-structures using surface chemical reactions without artificial lithography techniques.  相似文献   

14.
ABSTRACT: A method for fabrication of three-dimensional (3D) silicon nanostructures based on selective formation of porous silicon using ion beam irradiation of bulk p-type silicon followed by electrochemical etching is shown. It opens a route towards the fabrication of two-dimensional (2D) and 3D silicon-based photonic crystals with high flexibility and industrial compatibility. In this work, we present the fabrication of 2D photonic lattice and photonic slab structures and propose a process for the fabrication of 3D woodpile photonic crystals based on this approach. Simulated results of photonic band structures for the fabricated 2D photonic crystals show the presence of TE or TM gap in mid-infrared range.  相似文献   

15.
Nanoscale surface manipulation technique to control the surface roughness and the wettability is a challenging field for performance enhancement in boiling heat transfer. In this study, micro-nano hybrid structures (MNHS) with hierarchical geometries that lead to maximizing of surface area, roughness, and wettability are developed for the boiling applications. MNHS structures consist of micropillars or microcavities along with nanowires having the length to diameter ratio of about 100:1. MNHS is fabricated by a two-step silicon etching process, which are dry etching for micropattern and electroless silicon wet etching for nanowire synthesis. The fabrication process is readily capable of producing MNHS covering a wafer-scale area. By controlling the removal of polymeric passivation layers deposited during silicon dry etching (Bosch process), we can control the geometries for the hierarchical structure with or without the thin hydrophobic barriers that affect surface wettability. MNHS without sidewalls exhibit superhydrophilic behavior with a contact angle under 10°, whereas those with sidewalls preserved by the passivation layer display more hydrophobic characteristics with a contact angle near 60°.  相似文献   

16.
Dispersed nanosphere lithography can be employed to fabricate gold nanostructures for localized surface plasmon resonance, in which the gold film evaporated on the nanospheres is anisotropically dry etched to obtain gold nanostructures. This paper reports that by wet etching of the gold film, various kinds of gold nanostructures can be fabricated in a cost-effective way. The shape of the nanostructures is predicted by profile simulation, and the localized surface plasmon resonance spectrum is observed to be shifting its extinction peak with the etching time.  相似文献   

17.
A lithography-free method for fabricating the nanogrids and quasi-beehive nanostructures on Si substrates is developed. It combines sequential treatments of thermal annealing with reactive ion etching (RIE) on SiGe thin films grown on (100)-Si substrates. The SiGe thin films deposited by ultrahigh vacuum chemical vapor deposition form self-assembled nanoislands via the strain-induced surface roughening (Asaro-Tiller-Grinfeld instability) during thermal annealing, which, in turn, serve as patterned sacrifice regions for subsequent RIE process carried out for fabricating nanogrids and beehive-like nanostructures on Si substrates. The scanning electron microscopy and atomic force microscopy observations confirmed that the resultant pattern of the obtained structures can be manipulated by tuning the treatment conditions, suggesting an interesting alternative route of producing self-organized nanostructures.  相似文献   

18.
Titanium dioxide is one of the most frequently studied metal oxides, and its (110) rutile surface serves as a prototypical model for the surface science of such materials. Recent studies have also shown that the (011) surface is relatively easy for preparation in ultra-high vacuum (UHV) and that both the (110) and (011) surfaces could be precisely characterized using scanning tunneling microscopy (STM). The supramolecular self-assembly of organic molecules on the surfaces of titanium dioxide plays an important role in nanofabrication, and it can control the formation and properties of nanostructures, leading to wide range of applications covering the fields of catalysis, coatings and fabrication of sensors and extends to the optoelectronic industry and medical usage. Although the majority of experiments and theoretical calculations are focused on the adsorption of relatively small organic species, in recent years, there has been increasing interest in the properties of larger molecules that have several aromatic rings in which functional units could also be observed. The purpose of this review is to summarize the achievements in the study of single polycyclic molecules and thin layers adsorbed onto the surfaces of single crystalline titanium dioxide over the past decade.  相似文献   

19.
Although nanocrystals and nanowires have proliferated new scientific avenues in the study of their physics and chemistries, the bottom-up assembly of these small-scale building blocks remains a formidable challenge for device fabrication and processing. An attractive nanoscale assembly strategy should be cheap, fast, defect tolerant, compatible with a variety of materials, and parallel in nature, ideally utilizing the self-assembly to generate the core of a device, such as a memory chip or optical display. Langmuir-Blodgett (LB) assembly is a good candidate for arranging vast numbers of nanostructures on solid surfaces. In the LB technique, uniaxial compression of a nanocrystal or nanowire monolayer floating on an aqueous subphase causes the nanostructures to assemble and pack over a large area. The ordered monolayer can then be transferred to a solid surface en masse and with fidelity. In this Account, we present the Langmuir-Blodgett technique as a low-cost method for the massively parallel, controlled organization of nanostructures. The isothermal compression of fluid-supported nanoparticles or nanowires is unique in its ability to achieve control over nanoscale assembly by tuning a macroscopic property such as surface pressure. Under optimized conditions (e.g., surface pressure, substrate hydrophobicity, and pulling speed), it allows continuous variation of particle density, spacing, and even arrangement. For practical application and device fabrication, LB compression is ideal for forming highly dense assemblies of nanowires and nanocrystals over unprecedented surface areas. In addition, the dewetting properties of LB monolayers can be used to further achieve patterning within the range of micrometers to tens of nanometers without a predefined template. The LB method should allow for easy integration of nanomaterials into current manufacturing schemes, in addition to fast device prototyping and multiplexing capability.  相似文献   

20.
We report the fabrication of a sticky superhydrophobic paper surface with extremely high contact angle hysteresis: advancing contact angle ~150° (superhydrophobic) and receding contact angle ~10° (superhydrophilic). In addition, we report the controlled tunability of the contact angle hysteresis from 149.8 ± 5.8° to 3.5 ± 1.1°, while maintaining superhydrophobicity, as defined through an advancing contact angle above 150°. The hysteresis was tuned through the controlled fabrication of nano-scale features on the paper fibers via selective plasma etching. The variations in contact angle hysteresis are attributed to a transition of the liquid–surface interaction from a Wenzel state to a Cassie state on the nano-scale, while maintaining a Cassie state on the micro-scale. Superhydrophobic cellulosic surfaces with tunable stickiness or adhesion have potential applications in the control of aqueous drop mobility and the transfer of drops on inexpensive, renewable substrates.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号