首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 281 毫秒
1.
The etching characteristics of ITO in a BCl3/Ar plasma, including the etch rate and selectivity of ITO, were investigated. The maximum etch rate of 62.8 nm/min for the ITO thin films was obtained at a BCl3/Ar gas mixing ratio of 25%/75%. Ion bombardment by physical sputtering was required to obtain such high etch rates, due to the relatively low volatility of the by-products formed during the etching. The chemical reactions on the etched surfaces were investigated using X-ray Photoelectron Spectroscopy (XPS) and the preferential losses on the etched surfaces were investigated using Atomic Force Microscopy (AFM).  相似文献   

2.
In this study, we monitored the HfAlO3 etch rate and selectivity to SiO2 as a function of the etch parameters (gas mixing ratio, RF power, DC-bias voltage, and process pressure). A maximum etch rate of 52.6 nm/min was achieved in the 30% BCl3/(BCl3 + Ar) plasma. The etch selectivity of HfAlO3 to SiO2 reached 1.4. As the RF power and the DC-bias voltage increased, the etch rate of the HfAlO3 thin film increased. As the process pressure decreased, the etch rate of the HfAlO3 thin films increased. The chemical state of the etched surfaces was investigated by X-ray Photoelectron Spectroscopy (XPS). According to the results, the etching of HfAlO3 thin films follows the ion-assisted chemical etching mechanism.  相似文献   

3.
Etch characteristics of magnetic tunnel junction (MTJ) stack masked with TiN films were investigated using an inductively coupled plasma reactive ion etcher in Cl2/Ar and BCl3/Ar gases for magnetic random access memory. The effect of etch gas on the etch profile of MTJ stacks was examined. As Cl2 and BCl3 concentrations increased, the etch slope of etched MTJ stack became slanted and the dimensional shrinkage was observed. A high degree of anisotropic etching of MTJ stacks was achieved using Cl2/Ar gas at the optimized etch conditions.  相似文献   

4.
Dry etching of GaAs was investigated in BCl3, BCl3/N2 and BCl3/Ar discharges with a mechanical pump-based capacitively coupled plasma system. Etched GaAs samples were characterized using scanning electron microscopy and surface profilometry. Optical emission spectroscopy was used to monitor the BCl3-based plasma during etching. Pure BCl3 plasma was found to be suitable for GaAs etching at > 100 mTorr while producing a clean and smooth surface and vertical sidewall. Adding N2 or Ar to the BCl3 helped increase the etch rates of GaAs. For example, the GaAs etch rate was doubled with 20% N2 composition in the BCl3/N2 plasma compared to the pure BCl3 discharge at 150 W CCP power and 150 mTorr chamber pressure. The GaAs etch rate was ∼ 0.21 µm/min in the 20 sccm BCl3 plasma. The BCl3/Ar plasma also increased etch rates of GaAs with 20% of Ar in the discharge. However, the surface morphology of GaAs was strongly roughened with high percentage (> 30%) of N2 and Ar in the BCl3/N2 and BCl3/Ar plasma, respectively. Optical emission spectra showed that there was a broad BCl3-related molecular peak at 450-700 nm wavelength in the pure BCl3 plasma. When more than 50% N2 was added to the BCl3 plasma, an atomic N peak (367.05 nm) and molecular N2 peaks (550-800 nm) were detected. Etch selectivity of GaAs to photoresist decreased with the increase of % N2 and Ar in the BCl3-based plasma.  相似文献   

5.
Etch characteristics of L10 FePt thin films masked with TiN films were investigated using an inductively coupled plasma (ICP) reactive ion etching in a CH3OH/Ar plasma. As the CH3OH gas was added to Ar, the etch rates of FePt thin films and TiN hard mask gradually decreased, and the etch profile of FePt films improved with high degree of anisotropy. With increasing ICP rf power and dc-bias voltage to substrate and decreasing gas pressure, the etch rate increased and the etch profile becomes vertical without any redepositions or etch residues. Based on the etch characteristics and surface analysis of the films by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of FePt thin films in a CH3OH/Ar gas does not follow the reactive ion etch mechanism but the chemically assisted sputter etching mechanism, due to the chemical reaction of FePt film with CH3OH gas.  相似文献   

6.
Jong-Chang Woo 《Thin solid films》2010,518(10):2905-2909
The etching characteristics of zinc oxide (ZnO) including the etch rate and the selectivity of ZnO in a BCl3/Ar plasma were investigated. It was found that the ZnO etch rate showed a non-monotonic behavior with an increasing BCl3 fraction in the BCl3/Ar plasma, along with the RF power, and gas pressure. At a BCl3 (80%)/Ar (20%) gas mixture, the maximum ZnO etch rate of 50.3 nm/min and the maximum etch selectivity of 0.75 for ZnO/Si were obtained. Plasma diagnostics done with a quadrupole mass spectrometer delivered the data on the ionic species composition in plasma. Due to the relatively high volatility of the by-products formed during the etching by the BCl3/Ar plasma, ion bombardment in addition to physical sputtering was required to obtain the high ZnO etch rates. The chemical state of the etched surfaces was investigated with X-ray Photoelectron Spectroscopy (XPS). Inferred from this data, it was suggested that the ZnO etch mechanism was due to ion enhanced chemical etching.  相似文献   

7.
In this study, we investigated to the etch characteristics of indium zinc oxide (IZO) thin films in a CF4/Ar plasma, namely, etch rate and selectivity toward SiO2. A maximum etch rate of 76.6 nm/min was obtained for IZO thin films at a gas mixture ratio of CF4/Ar (25:75%). In addition, etch rates were measured as a function of etching parameters, including adaptively coupled plasma chamber pressure. X-ray photoelectron spectroscopy analysis showed efficient destruction of the oxide bonds by ion bombardment, as well as accumulation of low volatile reaction products on the surface of the etched IZO thin films. Field emission Auger electron spectroscopy analysis was used to examine the efficiency of ion-stimulated desorption of the reaction products.  相似文献   

8.
Do Young Lee 《Thin solid films》2009,517(14):4047-4051
Inductively coupled plasma reactive ion etching of indium zinc oxide (IZO) thin films masked with a photoresist was performed using a Cl2/Ar gas. The etch rate of the IZO thin films increased as Cl2 gas was added to Ar gas, reaching a maximum at 60% Cl2 and decreasing thereafter. The degree of anisotropy in the etch profile improved with increasing coil rf power and dc-bias voltage. Changes in pressure had little effect on the etch profile. X-ray photoelectron spectroscopy confirmed the formation of InCl3 and ZnCl2 on the etched surface. The surface morphology of the films etched at high Cl2 concentrations was smoother than that of the films etched at low Cl2 concentrations. These results suggest that the dry etching of IZO thin films in a Cl2/Ar gas occurs according to a reactive ion etching mechanism involving ion sputtering and a surface reaction.  相似文献   

9.
GaN thin films were etched by inductively coupled plasma (ICP). The effects of BCl3 and Ar with different Cl2 fraction are studied and compared. The ICP power and RF power are also altered to investigate the different effects by using Cl2/BCl3 or Cl2/Ar as etching gases. The etch rate and surface morphology of the etched surface are characterized by using surface profiler, scanning electron microscopy and atomic force microscopy. The root-mean-square roughness values are systematically compared. It is found that the etch rates of Cl2/Ar are higher than that of the Cl2/BCl3 in the Cl2 fraction ranging from 10 to 90%. When the ICP power is increased, the RMS roughness of GaN surface after ICP etching shows reverse trend between Cl2/BCl3 and Cl2/Ar gas mixture. The results indicate quite different features using Cl2/BCl3 and Cl2/Ar for GaN ICP etcing under the same conditions.  相似文献   

10.
Dry etching of indium zinc oxide (IZO) thin films was performed using inductively coupled plasma reactive ion etching in a C2F6/Ar gas. The etch characteristics of IZO films were investigated as a function of gas concentration, coil rf power, dc-bias voltage to substrate, and gas pressure. As the C2F6 concentration was increased, the etch rate of the IZO films decreased and the degree of anisotropy in the etch profile also decreased. The etch profile was improved with increasing coil rf power and dc-bias voltage, and decreasing gas pressure. An X-ray photoelectron spectroscopy analysis confirmed the formation of InF3 and ZnF2 compounds on the etched surface due to the chemical reaction of IZO films with fluorine radicals. In addition, the film surfaces etched at different conditions were examined by atomic force microscopy. These results demonstrated that the etch mechanism of IZO thin films followed sputter etching with the assistance of chemical reaction.  相似文献   

11.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

12.
Etch damage of TiO2 thin films with the anatase phase by capacitively coupled RF Ar plasmas has been investigated. The plasma etching causes a mixed phase of anatase and rutile or the rutile phase. The effect of Ar plasma etching damage on degenerating TiO2 thin films is dependent on gas pressure and etching time. The physical etching effect at a low gas pressure (1.3 Pa) contributes to the degradation: the atomic O concentration at the thin film surface is strongly increased. At a high gas pressure (13-27 Pa) and long etching time (60 min), there are a variety of surface defects or pits, which seem to be similar to those for GaN resulting from synergy effect between particle and UV radiation from the plasmas. For the hydrophilicity, the thin film etched at the high gas pressure and a short etching time (5 min) seems to have no etch damage: its contact angle property is almost similar to that for the as-grown thin film, and is independent of the black light irradiation. This result would probably result from formation of donor-like surface defects such as oxygen vacancy.  相似文献   

13.
Gwan-Ha Kim 《Thin solid films》2007,515(12):4955-4959
Magnesium oxide thin film has been widely used as a buffer layer and substrate for growing various thin film materials because of very low Gibbs free energy, low dielectric constant and low refractive index. The investigations of the MgO etching characteristics in BCl3/Ar plasma were carried out using the inductively coupled plasma system. It was found that the increasing BCl3 in the mixing ratio of BCl3/Ar plasma causes monotonic MgO etch rate. The results showed in the BCl3-rich plasma that the etching process is dominantly supplied by the chemical pathway through the ion-assisted chemical reaction.  相似文献   

14.
In this work, the etching properties of titanium dioxide (TiO2) thin film in additions of O2 at CF4/Ar plasma were investigated. The maximum etch rate of 179.4 nm/min and selectivity of TiO2 of 0.6 were obtained at an O2/CF4/Ar (=3:16:4 sccm) gas mixing ratio. In addition, the etch rate and selectivity were measured as a function of the etching parameters, such as the RF power, DC-bias voltage, and process pressure. The efficient destruction of the oxide bonds by ion bombardment, which was produced from the chemical reaction of the etched TiO2 thin film, was investigated by X-ray photoelectron spectroscopy. To determine the re-deposition of sputter products and reorganization of such residues on the surface, the surface roughness of TiO2 thin film were examined using atomic force microscopy.  相似文献   

15.
Etching characteristics and the mechanism of HfO2 thin films in Cl2/Ar inductively-coupled plasma were investigated. The etch rate of HfO2 was measured as a function of the Cl2/Ar mixing ratio in the range of 0 to 100% Ar at a fixed gas pressure (6 mTorr), input power (700 W), and bias power (300 W). We found that an increase in the Ar mixing ratio resulted in a monotonic decrease in the HfO2 etch rate in the range of 10.3 to 0.7 nm/min while the etch rate of the photoresist increased from 152.1 to 375.0 nm/min for 0 to 100% Ar. To examine the etching mechanism of HfO2 films, we combined plasma diagnostics using Langmuir probes and quadrupole mass spectrometry with global (zero-dimensional) plasma modeling. We found that the HfO2 etching process was not controlled by ion-surface interaction kinetics and formally corresponds to the reaction rate-limited etch regime.  相似文献   

16.
Xue-Yang 《Thin solid films》2010,518(22):6441-6445
In this study, the etching characteristics of ALD deposited Al2O3 thin film in a BCl3/N2 plasma were investigated. The experiments were performed by comparing the etch rates and the selectivity of Al2O3 over SiO2 as functions of the input plasma parameters, such as the gas mixing ratio, the DC-bias voltage, the RF power, and the process pressure. The maximum etch rate was obtained at 155.8 nm/min under a 15 mTorr process pressure, 700 W of RF power, and a BCl3 (6 sccm)/N2 (14 sccm) plasma. The highest etch selectivity was 1.9. We used X-ray photoelectron spectroscopy (XPS) to investigate the chemical reactions on the etched surface. Auger electron spectroscopy (AES) was used for the elemental analysis of the etched surfaces.  相似文献   

17.
Inductively coupled plasma reactive ion etching of CoFeB magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of CoFeB thin films and Ti hard mask decreased but the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage and gas pressure on the etch characteristics were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and decreasing gas pressure. The degree of anisotropy in the etch profile of CoFeB films improved with increasing coil rf power and dc-bias voltage. X-ray photoelectron spectroscopy revealed that the chemical compounds containing Co and Fe components were formed during the etching. However, it was expected that the formation of these compounds could not increase the etch rates of the films due to low volatile compounds despite the improvement in etch profile.  相似文献   

18.
An inductively coupled plasma reactive ion etching of IrMn magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of IrMn thin films and Ti hard mask decreased, while the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage to substrate and gas pressure on the etch characteristics were investigated. The etch rate increased and the etch profile improved with increasing coil rf power, dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed that the chemical reaction between IrMn films and CH3OH gas occurred, leading to the clean and good etch profile with high degree of anisotropy of 90°.  相似文献   

19.
Etching characteristics of high-k dielectric materials (HfO2) and metal electrode materials (Pt, TaN) have been studied in high-density chlorine-containing plasmas at pressures around 10 mTorr. The etching of HfO2 was performed in BCl3 without rf biasing, giving an etch rate of about 5 nm/min with a high selectivity of >10 over Si and SiO2. The etching of Pt and TaN was performed in Ar/O2 with high rf biasing and in Ar/Cl2 with low rf biasing, respectively, giving a Pt etch rate of about several tens nm/min and a TaN etch rate of about 200 nm/min with a high selectivity of >8 over HfO2 and SiO2. The etched profiles were outwardly tapered for Pt, owing to the redeposition of etch or sputter products on feature sidewalls, while the TaN profiles were almost anisotropic, probably owing to the ion-enhanced etching that occurred.  相似文献   

20.
In this study, we carried out an investigation of the etching characteristics (etch rate, selectivity) of HfO2 thin films in the CF4/Ar inductively coupled plasma (ICP). The maximum etch rate of 54.48 nm/min for HfO2 thin films was obtained at CF4/Ar (=20:80%) gas mixing ratio. At the same time, the etch rate was measured as function of the etching parameters such as ICP RF power, DC-bias voltage, and process pressure. The X-ray photoelectron spectroscopy analysis showed an efficient destruction of the oxide bonds by the ion bombardment as well as an accumulation of low volatile reaction products on the etched surface. Based on these data, the chemical reaction was proposed as the main etch mechanism for the CF4-containing plasmas.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号