首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
We have investigated the etching of SiC using inductively-coupled-plasma reactive ion etching with SF6-based and Cl2-based gas mixtures. Etch rates have been investigated as functions of bias voltage, ICP coil power, and chamber pressure. It will be shown, for the first time, that SiC surfaces etched in Cl2-based plasmas yield better surface electrical characteristics than those etched in SF6-based plasmas. We have also achieved SiC etch rates in excess of 1 μm/min which are suitable for micro-machining and via-hole applications. Through via-holes obtained in 140 μm thick SiC at an effective etch rate of 824 nm/min have been achieved. To the best of our knowledge, to date, this is the highest effective etch rate for a through via-hole etched with a masking process compatible with microelectronic fabrication.  相似文献   

2.
Plasma chemistries for high density plasma etching of SiC   总被引:1,自引:0,他引:1  
A variety of different plasma chemistries, including SF6, Cl2, ICl, and IBr, have been examined for dry etching of 6H-SiC in high ion density plasma tools (inductively coupled plasma and electron cyclotron resonance). Rates up to 4500?-min−1 were obtained for SF6 plasmas, while much lower rates (≤800?·min−1) were achieved with Cl2, ICl, and IBr. The F2-based chemistries have poor selectivity for SiC over photoresist masks (typically 0.4–0.5), but Ni masks are more robust, and allow etch depths ≥10 μm in the SiC. A micromachining process (sequential etch/deposition steps) designed for Si produces relatively low etch rates (<2,000?-min−1) for SiC.  相似文献   

3.
Inductively coupled plasma reactive ion etching of SiC single crystals using NF3-based gas mixtures was investigated. Mesas with smooth surfaces and vertical sidewalls were obtained, with a maximum etch rate of about 400 nm/min. Effects of CH4 and O2 addition to the NF3 gas and the crystalline quality of substrates were studied during the SiC dry etching using various masks. Selectivity of the photoresist (PR) mask improved from about 0.2 to about 0.4 by the addition of 30% CH4 during the RIE, although the etch rate decreased by 50–70%. Results also indicated that the substrate quality does not significantly affect the etch results.  相似文献   

4.
To avoid plasma induced erosion of chamber hardware, the application of remote plasma sources to activate the etch gases was introduced. We present results on the etch behaviour of titanium nitride (TiN) using mixtures of NF3, Cl2 and argon. The gas mixture was excited in a remote plasma source and then routed through a reaction chamber to study the etch behaviour of TiN samples which simulate the situation at the chamber walls. The dependency of the TiN etch rate on temperature, gas flow, composition and pressure was examined. While the temperature (studied in the range 25-300 °C) turned out to be the most sensitive parameter, the general etch rate was mainly dependent on the availability of atomic fluorine. Etch products and NF3/Cl2 dissociation have been monitored by quadrupole mass spectrometry and infrared spectroscopy. While NF3 showed a high decomposition up to 96%, chlorine decomposition was not observed. However the addition of chlorine increased the etch rates up to 260% in the low pressure/low temperature regime. Surface effects of chlorine addition are indicated by X-Ray Photoelectron Spectrometry and REM surface analysis.  相似文献   

5.
Isotopically enriched 10boron for use in pillar-structured neutron detectors was successfully etched in an electron cyclotron resonance (ECR) plasma using SF6-based plasmas. The effects of radio frequency (RF) power, ECR power, gas flow rate, H2 and O2 incorporation into the plasma, and gas mixture ratios were examined. Etch rates up to approximately 1.35 μm/min were realized. In addition, etch morphology was examined, and the final shape of 10boron-coated pillars could be controlled through the etch gas mixture utilized. Selectivity to the underlying Si structure was apparent from scanning electron microscopy (SEM) micrographs of completed etches.  相似文献   

6.
Dry and wet chemical etching of epitaxial In0, 5Ga0.5P layers grown on GaAs substrates by gas-source molecular beam epitaxy have been investigated. For chlorine-based dry etch mixtures (PCl3/Ar or CC12F2/Ar) the etching rate of InGaP increases linearly with dc self-bias on the sample, whereas CH4/H2-based mixtures produce slower etch rates. Selectivities of ≥500 for etching GaAs over InGaP are obtained under low bias conditions with PCl3/Ar, but the surface morphologies of InGaP are rough. Both CC12F2/Ar and CH4/H2/Ar mixtures produce smooth surface morphologies and good (≥10) selectivities for etching GaAs over InGaP. The wet chemical etching rates of InGaP in H3PO4:HC1:H2O mixtures has been systemically measured as a function of etch formulation and are most rapid (∼1 μn · min−1) for high HCl compositions. The etch rate,R, in a 1:1:1 mixture is thermally activated of the formR ∝ , whereE a = 11.25 kCal · mole−1. This is consistent with the etching being reaction-limited at the surface. This etch mixture is selective for InGaP over GaAs.  相似文献   

7.
High concentration (more than 1 × 1018 cm−3) of hydrogen atoms remaining in Mg-doped GaN epitaxial layers grown by metalorganic chemical vapor deposition even after conventional annealing in N2 ambient could induce degradation in GaN-based devices containing Mg-doped layers. In this study, by annealing Mg-doped nitrides in NF3 ambient, we successfully reduced residual hydrogen below mid-1017 cm−3, which is much smaller than by N2 annealing. NF3 annealing enhances outdiffusion of hydrogen from the bulk, which is possibly because the nitrogen and fluorine radicals decomposed from NF3 accelerate desorption of hydrogen adatoms from the surface. The proposed method for Mg activation would improve the reliability of GaN-based light-emitting diodes and laser diodes.  相似文献   

8.
The technology of through metallized holes to sources of high-power GaN/SiC high electron mobility transistors is studied. The dependences of the reactive ion etch rate of SiC in the inductively coupled plasma discharge on the pressure of the SF6/O2/Ar gas mixture (5–40 mTorr), the high-frequency power applied to the bottom electrode (200–300 W), the working gas flow ratio (5 : 1 : (0–10)), and the bottom electrode temperatures (5–50°C) are studied. Based on these dependences, the hole etching process on 76-mm-diameter SiC substrates 50 and 100 μm thick is developed. The process features smooth etched-surface morphology, a high rate (1 μm/min), and low high-frequency power deposited into the inductively coupled plasma discharge (1000 W). The developed process of hole etching in SiC substrates is characterized by the selectivity coefficient S = 12 and the anisotropy coefficient A = 13. Films based on NiB are recommended as masks for etching through holes into SiC substrates. The processes of through-hole metallization by the electrochemical deposition of Ni and Au layers are developed.  相似文献   

9.
Two reactive ion etchants, CF4 and SF6, have been compared in terms of plasma characteristics, silicon oxide etch characteristics, extent of RIE damage, and formation of barrier layers on a GaAs surface after oxide etch. It was found that higher etch rates with lower plasma-induced dc bias can be achieved with SF6 plasma relative to CF4 plasma and that this correlates with higher atomic fluorine concentration in SF6 plasma. RIE damage, measured by loss of sheet conductance in a thin highly-doped GaAs layer, could be modelled as a region of deep acceptors at a high concentration in the conductive layer. By relating the sheet conductance change to the modelled damaged layer thickness, it was found that the RIE-damaged thickness from both CF4 and SF6 plasmas had the same linear relation to plasma dc bias. Barriers to subsequent GaAs RIE were created during oxide overetch at the GaAs surface. The barriers were identified by XPS as ∼20 A of GaF3 for CF4 plasma and ∼30 A of GaF3 on top of AsxSy for SF6 plasma. Ellipsometry was used to routinely determine the presence or absence of the barriers which could be removed in dilute ammonia.  相似文献   

10.
Etching of silicon carbide (SiC) was conducted in a NF3/CH4 inductively coupled plasma (ICP) at low pressure. The etch responses examined include the etch rate, surface roughness, and profile angle. For the variations in the source power, the direct-current (DC) bias strongly affected the etch rate. The profile angle varied inconsistently with the bias power. It was commonly observed without regard to the pressure level that, at lower gas ratios, the surface roughness was inversely related to the DC bias. At higher gas ratios, the surface roughness seemed to be dominated by surface reactions. In estimating etch mechanisms, the DC bias played an important role in qualitatively separating chemical and physical effects.  相似文献   

11.
Experimental results are reported of selective diffusion of boron in 6H−SiC. Photoluminescence spectroscopy scanning electron microscopy cathodoluminescence imaging, secondary-ion mass spectroscopy optical microscopy, and stain-groove technique were used to characterize the selectively doped regions fabricated by diffusion from the vapor phase through a graphite mask. Local p-doped regions of dimensions down to ∼20 μm in diameter were formed on an n-type substrate using the graphite mask. Maximum concentration of boron atoms at the surface, obtained by SIMS, varied from 3×1019 cm−3 to 6×1019 cm−3, depending upon the temperature of diffusion, while the p-n junction depth measured by the stain-groove technique varied from 0.5 μm to 1.2 μm. Planar p-n junction diodes fabricated on the diffused regions exhibited good rectification characteristics with a breakdown voltage of about 1000 V.  相似文献   

12.
Deep reactive ion etching (DRIE) of borosilicate glass was carried out using SF6 and SF6/Ar plasmas in an inductively coupled plasma (ICP) reactor. Electroplated Ni on Cu (≅50 nm)/Cr (≅100 nm)/glass structure using patterned SU-8 photoresist mask with a line spacing of 12-15 μm was used as a hard-mask for plasma etching. Plasma etching of borosilicate glass was performed by varying the various process parameters such as the gas chemistry, the gas flow ratio, the top electrode power, and the dc self-bias voltage (Vdc). In the case of using SF6 gas only, the profiles of the etched channel showed the undercut below the Ni hard-mask due to a chemical etching and the microtrenching at the bottom of the etched channel. An optimized process using the SF6 plasmas showed the glass etch rate of ≅750 nm/min. The addition of the Ar gas to the SF6 gas removed the undercut and microtrenching but decreased the etch rate to ≅540 nm/min. The increasing and decreasing time-dependent etch rates with the etch depth in the SF6 (200 sccm) and SF6(60%)/Ar(40%) plasmas, respectively, were ascribed to the different ion-to-neutral flux ratios leading to the different etch process regime.  相似文献   

13.
Reactive ion etching of SiC using C2F6/O2 inductively coupled plasma   总被引:1,自引:0,他引:1  
The inductively coupled plasma-reactive ion etching (ICP-RIE) of SiC single crystals using the C2F6/O2 gas mixture was investigated. It was observed that the etch rate increased as the ICP power and bias power increased. With increasing sample-coil distance, O2 concentration, and chamber pressure, the etch rate initially increased, reached a maximum, and then decreased. Mesas with smooth surfaces (roughness ≤1 nm) and vertical sidewalls (∼85°) were obtained at low bias conditions with a reasonable etch rate of about 100 nm/min. A maximum etch rate of 300 nm/min could be obtained by etching at high bias conditions (≥300 V), in which case rough surfaces and the trenched sidewall base were observed. The trenching effect could be suppressed by etching the samples on anodized Al plates, although mesas with sloped (60–70°) sidewalls were obtained. Results of various surface characterization indicated little contamination and damage on the etched SiC surfaces.  相似文献   

14.
Interfacial reactions between the Ba2YCu3O6+x superconductor and the CeO2 buffer layers employed in coated conductors have been modeled experimentally by investigating the kinetics of the reaction between Ba2YCu3O6+x films and CeO2 substrates. At 810°C, the Ba2YCu3O6+x -CeO2 join within the BaO-Y2O3-CeO2-CuO x quaternary system is nonbinary, thereby establishing the phase diagram topology that governs the Ba2YCu3O6+x /CeO2 reaction. At a mole ratio of Ba2YCu3O6+x :CeO2 of 40:60, a phase boundary was found to separate two four-phase regions. On the Ba2YCu3O6+x -rich side of the join, the four-phase region consists of Ba2YCu3O6 +x , Ba(Ce1−z Y z )O3−x , BaY2CuO5, and CuO x ; on the CeO2 rich side, the four phases were determined to be Ba(Ce1−z Y z ) O3−x , BaY2CuO5, CuO x and CeO2. The Ba2YCu3O6+x /CeO2 reaction is limited by solid-state diffusion, and the reaction kinetics obey the parabolic rule, x = Kt 1/2, where x = thickness of the reaction layer, t = time, and K = a constant related to the rate constant; K was determined to be 1.6 × 10−3 μm/s1/2 at 790°C and 4.7 × 10−3 μm/s1/2 at 830°C. The activation energy for the reaction was determined to be E act = 2.67 × 105 J/mol using the Arrhenius equation.  相似文献   

15.
The effects of nitrogen trifluoride (NF3) on the growth and properties of plasma-enhanced chemical-vapor-deposited diamond-like carbon (DLC) films were investigated. The addition of NF3 increases the deposition rate of DLC film due presumably to the removal of activated hydrogen species by the fluorine radical (F). Diamond-like carbon films deposited in a methane/NF3 mixture have a higher refractive index, a lower bulk resistivity, and a lower optical bandgap compared to films deposited in pure methane due to a lower hydrogen content in the films. Moreover, the bulk resistivity of methane/NF3 DLC films remains constant for annealing temperatures below 400°C. Thus, DLC films deposited with NF3 are more stable than DLC films deposited without NF3.  相似文献   

16.
Inductively coupled SF6 plasma etching of germanium (Ge) was investigated at different inductively coupled plasma (ICP) power levels, the SF6 flow rate, and the working pressure. The etch rate of Ge increases from 1007 to 2447 nm/min as the SF6 flow rate increases from 10 to 60 sccm. Also, the etch rate of Ge increases from 265 to 1007 nm/min as the ICP power level increases from 100 to 400 W whereas the etch rate of Ge decreases from 552 to 295 nm/min as the working pressure increases from 5 to 20 mTorr. The etch profile is isotropic. As SF6 flow, ICP power and working pressure decrease the surface roughness decreases. Optical emission spectroscopy was used to examine the gas phase species in the plasma, and emission from excited atomic S and F has been identified. Composition of the surface due to SF6 plasmas has been obtained using X-ray photoelectron spectroscopy. Reaction layers on germanium due to inductively coupled SF6 plasma etching are found to be a thin, layer with of G–-S, Ge–F and Ge–O bonded species.  相似文献   

17.
The growth of the total (Cu3Sn+Cu6Sn) intermetallic compound layer in Cu-60Sn40Pb solder joints during static annealing at 50°C to 150°C was described by the equation hi=ho+Ao exp(−Qa/RT)tp with ho=0–0.3 μm, p=0.38–0.70, Ao=1.9×10−4–3.4×10−4 m/sp, and Qa=25.5–30.9 kJ/mole. These constants are within the range of those obtained by others and give values of Do and Q which are in reasonable accord with those for the diffusion coefficients in Cu3Sn and Cu6Sn5 determined in diffusion couples. The deviation of the values of the time exponent p from the ideal of 0.5 for diffusion growth may be due to inaccuracies or errors pertaining to the measured thickness (especially ho) and the complex nature of the diffusion process.  相似文献   

18.
The feasibility of carbon-silicon nitride formation (β−Si1.5C1.5N4, the homologue of equilibrium β−Si3N4 or hypothetical β−C3N4) has been investigated by high dose N+ implantation into polycrystalline β−SiC (cubic phase). Thin films were formed using 100 keV implantations with varying ion doses and target temperatures. X-ray diffraction with a position-sensitive detector and cross-sectional transmission electron microscopy revealed that the as-implanted surfaces contained ∼0.1 μm thick buried amorphous layers. Rutherford backscattering spectroscopy showed that the peak concentration of nitrogen saturated up to approximately 54 at.% with increasing doses, suggesting formation of a new phase.  相似文献   

19.
Factors such as lateral control of surface chemistry, reproducible etch depths and widths, and elimination of cross-contamination are vital to achieving world-class Hg1-X Cd X Te focal plane infrared detector arrays. Raytheon Vision Systems (RVS) has made significant progress toward a greater understanding of these factors. The current investigation applies time of flight–secondary ion mass spectroscopy (TOF-SIMS) to assess the manner in which Hg1-X Cd X Te surface chemistry is influenced by three different critical physical-chemical factors: (1) process chemistry, including baseline and four alternatives; (2) patterned photoresist format; and (3) etch geometry, including aspect ratio, trench depth, trench width, and unit cell spacing. The first of two patterned photoresist formats consisted of an array having 15-μm unit cells with 5-μm-wide and either 3.5-μm- or 6-μm-deep trenches. The second format consisted of a special diagnostic array of parallel dry-etched stripes having various permutations of trench depths (6 μm and 10 μm), trench widths (3 μm and 5 μm), and trench-to-trench separations (3 μm, 5 μm, and 20 μm). The surface chemistry results relative to etch-depth/width ratios, exposed Hg1−X Cd X Te area (etch widths), and trench separation distances show that these parameters have a measurable influence on cross-contaminant abundance and type and on the relative ranking of the process cleaning efficacies. Novel analytical methods for using TOF-SIMS data to qualitatively assess cleaning efficacy, geometry-dependent surface species distributions, the polar/hydrophilic and nonpolar/hydrophobic nature of the processed surface, and the dependence of cleaning efficacy on surface chemistry are also discussed. These methods are intended for use in a variety of studies.  相似文献   

20.
A plasma enhanced, in-situ, dry etching process for the cleaning of stainless steel III-V Metal Organic Chemical Vapor Deposition growth systems was investigated as a function of etchant gas, flow rate, electrode configuration, power density and plasma frequency. The plasma enhanced etching process was investigated using Ar, CH4 (5% in H2), CCl2F2 (Freon 12)/Ar and Cl2/Ar plasmas with flows varying from 5 to 25 seem. The plasma was excited using three electrode configurations, and two radio frequency generators (90–460 KHz and 13.56 MHz), singly and in combination. The plasma power was varied over the range from 200 to 700 Watts (∼0.2W/cm2 – 0.7W/cm2). The etching rates of GaAs, InP, As, and Mo were measured using a weight difference method. The Cl2/Ar plasmas exhibited etching rates typically 5 to 10 times greater than that of CCl2F2 plasmas, which in turn is several times greater than that of the other etchant gases investigated. At 400 W, elemental As etch rates, as high as ∼180μm/hr and ∼20μm/hr were achieved using Cl2 and CCl2F2 plasmas, respectively. InP/GaAs etch rates using Cl2 were ∼30μm/hr and using CCl2F2 were ∼7μm/hr. Plasma characteristics and etch rate measurements are reported. The in-situ process investigated is a safe, cost effective and an efficient method for increasing reactor uptime.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号