首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
A major challenge for back-end interconnection technology as device geometries shrink is the development of low dielectric constant materials with good gap-filling properties that are suitable for microelectronics manufacturing. Recently, there has been much interest in fluorine-doped silicon dioxides (SiOF) as such a material. The advantages of a silicon dioxide based film from an integration standpoint make these films very attractive for manufacturing.

In this study, SiOF films have been prepared in a conventional parallel-plate dual-frequency plasma-enhanced chemical vapor deposition reactor. By varying the deposition parameters, SiOF films with indices of refraction from 1.38 to 1.46 and corresponding changes of as much as 20% in the dielectric constants have been produced. Fourier transform infrared data for these films have been correlated with fluorine concentration by secondary ion mass spectroscopy measurements. The presence of fluorine can also be observed in the capacitance-voltage (CV) characteristics for the films. Fluorine interface states trap electrons which delay the onset of accumulation in the CV measurements for p-type silicon. In a similar way, the fluorine-induced trap states affect the onset of inversion for n-type silicon.

Some films with high fluorine concentrations have been observed to be unstable with respect to moisture absorption. The reliability and stability data for these films are presented.  相似文献   


2.
In our study, fluorine-doped silicon oxide (SiOF) films were prepared using a mixture of SiH4, N2O, and CF4 in a conventional plasma enhanced chemical vapor deposition system at various deposition temperatures. Deposition behaviors are determined by the deposition temperature. Our results show that for temperatures below 300 °C the process is surface-reaction-limited controlled, but becomes diffusion-limited when the deposition temperature exceeds 300 °C. The surface topography images obtained using an atomic force microscope show that a large amount of free volume space was created in the film with a low temperature deposition. The optical microscope and secondary ion mass spectrometer analyses show that precipitates were produced at the near-surface at the deposition temperature of 150 °C with a higher fluorine concentration of 2.97 at.%. Our results show that the properties of the SiOF film are controlled not only by the free volume space but also by the fluorine concentration. An optimal SiOF film prepared at a temperature of 200 °C shows a low dielectric constant of 3.55, a leakage current of 1.21 × 10− 8 A/cm2 at 1 MV/cm, and a fluorine concentration of 2.5 at.%.  相似文献   

3.
Hydrogen silesquioxane (HSQ) is a low dielectric constant material and a potential substitute for conventional silicon dioxide insulator in ULSI system. In this study, the effect of plasma treatment on HSQ films is investigated. The bond structure changes of HSQ after curing, plasma treatment, and water absorption were observed with Fourier transform infrared spectroscopy. Densification of the film occurs after curing, the higher the curing temperature, the lower the dielectric constant and refractive index of the film. Both H2- and O2-plasma treatments are employed in this study. The H2-plasma bombardment enhances the formation of the network structure but raises the moisture absorption of HSQ films. It is found that films subjected to both H2- and O2-plasma treatments have lower dielectric constant than those subjected to O2 treatment alone. Possible mechanisms for the effects of plasma treatments are explored. The residual stress of HSQ film is also studied.  相似文献   

4.
Dong-Hwan Kim 《Thin solid films》2010,518(22):6482-6487
An arcing defect, generated during inter-metallic dielectric (IMD) fluorine doped silicon oxide (SiOF) film deposition, is fatal damage which occurs during the plasma enhanced deposition process. After IMD SiOF film deposition on the metal patterned wafer of logic device structure, the arcing defect is monitored using the KLA™ defect detecting tool. From KLA™ tool, it can be seen that the arcing defect has a close correlation with the deposition power so it is possible to reduce the arcing defect by decreasing the SiOF deposition power. The plasma charge from non-uniform plasma, induced during the SiOF film deposition, is characterized using the simple plasma damage monitoring (SPDM) system which is based on a very simple metal-oxide-silicon (MOS) capacitors. The SPDM system results show that the amount of the plasma charge from non-uniform plasma decreases as the SiOF deposition power decreases. This work focuses on finding an arcing defect free condition during IMD SiOF film plasma enhanced deposition on logic devices, by finding the “no plasma damage” condition. It is seen that when the SiOF film deposition at the high frequency (13.56 MHz) / low frequency (400 kHz) power condition is 500 W / 150 W or less, there is no plasma induced arcing defect. We report that the main cause of arcing defect, generated during SiOF film deposition, is the plasma charge from non-uniform plasma, induced during deposition process by using the SPDM system.  相似文献   

5.
C.K. Tan  G.K. Lau 《Thin solid films》2008,516(16):5545-5550
Polycrystalline BaTiO3 thin films were grown on Ti-covered polymer substrates at 80 °C using the microwave-hydrothermal technique. Onset of BaTiO3 formation occurred almost instantaneously at 80 °C and complete film coverage was achieved within 2 min. Longer reaction time was necessary for extensive grain growth to achieve dense films. Good quality capacitor films were only achieved at 4 h reaction time but loss tangents were high. Film dielectric constant and dielectric loss values of as-grown M-H films decreased with longer reaction time. Oxygen plasma treatment improved loss tangents to 4% by removal of both absorbed moisture and lattice hydroxyls.  相似文献   

6.
Parylene-n (Poly-p-xylylene) (PA-n) [1–3] has a long history of use as a moisture barrier for printed circuit boards and hybrids. This paper evaluates this compound as a candidate vapor-depositable polymer interlayer dielectric for submicron integrated circuit technology due to its low dielectric constant, good step coverage, and high etch selectivity. To apply PA-n on high-density very large scale integrated circuits, its properties, such as deposition rate, deposition yield, and Crystallinity, are investigated as a function of deposition pressure and annealing temperature. The deposition rate was found in the range of 2.66 Pa to 13.3 Pa to be a linearly increasing function of pressure. Good-quality films were obtained when pressure was controlled below 10.64 Pa. Cloudy films, however, were found at 13.3 Pa. The deposition rate could be as high as 3.33 × 10−10 m s−1 when deposited at 10.64 Pa. The plot of PA-n yield vs. pressure showed a constant plateau of 1 × 10−4 m kg−1 from 2.66 Pa to 10.64 Pa. The optimum deposition rate was hence obtained at 10.64 Pa without compromising the deposition yield. The crystallinity-associated properties examined were hardness, dielectric constant, and water permeability. A lower deposition pressure was observed to produce higher Crystallinity that could be further enhanced by thermal annealing. A 5 × 10−8 m hard surface layer was detected with hardness 3.5 GPa, that was 3˜7 times larger than that of bulk hardness which was 0.4˜0.7 GPa. The bulk hardness was found to increase as Crystallinity increased. The dielectric constant tended to increase when the deposition pressure decreased. Furthermore, the dielectric constant was nearly constant when the polymer was heated up to temperatures as high as 698 K. This behavior, together with the formation of the hard layer and a higher Crystallinity, was believed to result from the improved film organization of the deposited films. The competition between the film build-up in the surface region and the monomer diffusion into the bulk region (penetration) was theorized to be responsible for the film organization. The water permeability, which was measured to be as low as 1.2 × 10−15 kg m−1 s−1 Pa−1 and was found to increase as the deposition pressure was increased, further strengthened the film organization claim.  相似文献   

7.
The low dielectric constant SiOC:H films of plasma enhanced chemical vapor deposition method have been developed with various precursor ratio. The reduction of the dielectric constant has been achieved by increasing the porosity in the films through the change of precursor ratio. In order to clarify the relation between dielectric constant and film porosity, the small angle X-ray scattering technique has been applied for characterizing pore size in the porous low-k dielectric films. The effects of the oxygen on the bonding configuration and electrical properties were investigated by adjusting TMS/O2 gas ratios. The porous SiOC:H film displays the small pore sizes and lower dielectric constant. It is found that the pore size of SiOC:H film is significant smaller than 1 nm and the pore size attributed to Si-O-Si cage structure change.  相似文献   

8.
Polyarylene ether nitriles (PEN)/hyperbranched copper phthalocyanine (HBCuPc) hybrid films have been successfully fabricated via PEN mixing with HBCuPc in N-methylpyrrolidone solution, solution-casting and then co-crosslinking at high temperature. The dielectric properties of the films were measured to find that dielectric constant as well as dielectric loss of the hybrid films increased linearly with the increasing HBCuPc content without sacrificing dielectric breakdown strength compared to that of the pristine polymer. These results shows PEN/HBCuPc hybrid films have a high dielectric constant and low dielectric loss at a high operational frequency (>1 kHz). The tensile strength and elongation at break of the hybrid films were increased with the increase of HBCuPc content and the thermal stability was improved with the increase of HBCuPc content.  相似文献   

9.
Ma?gorzata Kalisz  R.B. Beck 《Vacuum》2008,82(10):1040-1045
We have investigated the effect of silicon dioxide reactive ion etching (RIE) parameters and the type of plasma on the concentration of fluorine and its chemical compounds, such as CF, SiF and SiOF, in the polymer layer that is formed during this process on the top of etched layer, and their thermal stability.The polymeric layer formed on the etched surface appeared to consist of fluorine and silicon fluoride (CF, SiOF and SiF). The thickness and chemical composition of polymer layer formed on the etched surface depends on the type of used fluorine plasma (CF4 or CHF3). Low-k layer formed during RIE in CHF3 plasma consists of CF, SiOF and SiF species, whose intensity and thickness depend on the etching process parameters. For CF4 plasma, polymer layer consists of SiOF and SiF species, whose intensity and thickness depend also on the etching process parameters. However, only for CHF3 plasma it is possible to control the etching/deposition process dynamically by the adequate adjusting process parameters.In contrast to the CF/SiOF/SiF layer formed during RIE in CHF3 plasma, the SiOF/SiF ultra-thin layer is not thermally stable and its thickness is too low for the intermetal dielectric (IMD) application.  相似文献   

10.
详细介绍了目前采用旋转涂覆(Spin-on deposition)法制备硅基多孔低k薄膜材料(MSQ及HSD)的方法及技术,其次介绍了用FTIR对低介电MSQ及HSQ薄膜的结构的分析,最后指出了等离子体处理对薄膜表面改性研究的主要进展以及存在的问题和今后的研究方向.  相似文献   

11.
《Materials Letters》2006,60(13-14):1579-1581
Low-density materials, such as the commercially available hydrogen silsesquioxane (HSQ) offer a low dielectric constant. Thus, HSQ with a low value of k (∼ 2.85) can be spin-coated if the density of Si–H bonding is maintained at a high level and the formation of –OH bonds and absorption of water in the film is minimized. O2 plasma exposure on HSQ film increases leakage current. Also the dielectric constant shows a significant increase after O2 plasma exposure. Another consequence of the O2 plasma exposure is the significant decrease in the contact angle of the HSQ surface, which is not desirable. In this paper, we demonstrate that the surface passivation by hydrogen followed by oxygen plasma treatment of HSQ film for 30 min each leads to a regain of leakage current density and dielectric constant. These results show that the H2 plasma treatment is a promising technique to prevent the damage in the commercially available and highly applicable low-k materials and it also increases the visibility of its use at the 0.1-μm technology. The more hydrophilic nature of the HSQ surface after O2 plasma exposure leads to an increased moisture absorption with a subsequent increase in the dielectric constant.  相似文献   

12.
This paper reviews low dielectric constant materials for interlayer dielectric films in ultralarge-scale integrated circuit (ULSI) multilevel interconnections. The trends of ULSIs in the last decade were briefly described first. Then, the requirements for interlayer dielectric film properties and their formation techniques were explained. They are: (1) a low dielectric constant, (2) a surface planarity, (3) a gap-filling capability, and (4) a low residual stress. In contrast with the requirements, the interlayer dielectric films and related technologies developed in the last decade were reviewed. In the requirements, the low dielectric constant materials are strongly required because the device performance has been limited by signal propagation time and cross-talk in the multilevel interconnections. Furthermore, the low dielectric constant is also required for reduction of power consumption in ULSI operation. Finally, the low dielectric constant materials were summarized, and future trends of the low dielectric constant interlayer dielectric film technologies are discussed.  相似文献   

13.
针对金刚石膜微波介电损耗低、厚度薄带来的微波介电性能测试难点, 研制了一台分体圆柱谐振腔式微波介电性能测试装置。利用不同直径的蓝宝石单晶样品, 用上述装置对低损耗薄膜类样品微波介电性能的测试能力及样品直径对测试结果的影响进行了实验研究。在此基础上, 使用分体圆柱谐振腔式微波介电性能测试装置对微波等离子体化学气相沉积法和直流电弧等离子体喷射法制备的高品质金刚石膜在Ka波段的微波介电性能进行了测试比较。测试结果表明, 由Raman光谱、紫外-可见光谱等分析证明品质较优的微波等离子体化学气相沉积法制备的金刚石膜具有更高的微波介电性能, 其相对介电常数和微波介电损耗值均低于直流电弧等离子体喷射法制备的金刚石膜。  相似文献   

14.
超低介电常数纳米多孔SiO2薄膜在未来超大规模集成电路(ULSI)中有着广阔的应用前景,但其疏水性能的好坏是决定其能否在ULSI中应用的重要因素之一.介绍了国内外有关纳米多孔SiO2薄膜疏水性的原理、工艺以及表征方法.  相似文献   

15.
We have measured Raman spectra of fluorine-doped SiO2 (SiOF) films and quartz glass. From a comparison between Raman spectra of the SiO2 film and quartz glass, it has been found that the SiO2 film is under compressive stress and that it has more threefold ring defects than quartz glass. Raman bands from threefold and fourfold ring defects in SiOF films become week as the fluorine/oxygen (F/O) ratio increases and as the stress decreases. The decrease of intensities of these Raman bands shows that ring defects in SiOF films decrease as the F/O ratio increases and as the stress decreases. The triply degenerated ω4 mode at 460 cm−1 becomes sharp as the F/O ratio increases and as the stress decreases. Furthermore, the peak-frequency of ω1 mode around 820 cm−1 decreases with a decrease of stresses whereas that of ω3 mode around 1065 cm−1 increases. These results can be well understood in terms of a decrease of O-Si-O bonding angle caused by relaxation of stresses.  相似文献   

16.
This paper investigates acoustic properties, including the temperature coefficient of elasticity (TCE), of fluorine-doped silicon oxide (SiOF) films and proposes the application of the films to the temperature compensation of RF SAW devices. From Fourier transform infrared spectroscopy (FT-IR), SiOF films were expected to possess good TCE properties. We fabricated a series of SAW devices using the SiOF-overlay/Cu-grating/LiNbO(3)-substrate structure, and evaluated their performance. The experiments showed that the temperature coefficient of frequency (TCF) increases with the fluorine content r, as we expected from the FT-IR measurement. This means that the Si-O-Si atomic structure measurable by the FT-IR governs the TCE behavior of SiO(2)-based films even when the dopant is added. In comparison with pure SiO(2) with the film thickness h of 0.3 wavelengths (λ), TCF was improved by 7.7 ppm/°C without deterioration of the effective electromechanical coupling factor K2 when r = 3.8 atomic % and h = 0.28λ. Fluorine inclusion did not obviously influence the resonators' Q factors when r < 8.8 atomic %.  相似文献   

17.
A series of surface modified titanium dioxide (TiO2)/polyarylene ether nitriles (PEN) composite films with different modified TiO2 contents were prepared by solution casting method combined with ultrasonic dispersion technology. TiO2 particles were successfully surface modified by PEN–COOH polymer previously, which was confirmed by transmission electron microscopy, Fourier transform infrared spectroscopy and thermogravimetric analysis. Besides, SEM images of composite films revealed that the interfacial adhesion between surface modified TiO2 particles and the PEN matrix was effectively improved because of their common cyano groups and similar structure units. Furthermore, thermal, mechanical and dielectric characterizations showed that the composite films possess excellent thermal properties and flexibility as well as good dielectric properties, their glass transition temperatures were as high as 223?°C and the initial decomposition temperatures were all above 480?°C. In addition, it was found that the tensile strength of modified TiO2/PEN composites was better than raw TiO2/PEN composites. More importantly, the dielectric constant of composite films increases linearly with increment of the surface modified TiO2 particles content. When the mass fraction of modified TiO2 particles reached 40?%, the dielectric constant of the composite film increased to 7.9 (1?kHz), while the dielectric loss is just 0.028 (1?kHz).  相似文献   

18.
The microwave dielectric properties of Ba0.6Sr0.4TiO3 1 mol% W-doped thin films deposited using pulsed laser deposition, are improved by a novel oxygen deposition profile. The thin films were deposited onto (001) MgO substrates at a temperature of 720 °C. A comparison is made between three different oxygen ambient growth conditions. These include growth at a single oxygen pressure (6.7 Pa) and growth at two oxygen pressures, one low (6.7 Pa) and one high (46.7 Pa). Films were deposited in a sequence that includes both a low to high and a high to low transition in the oxygen deposition pressure. Following deposition, all films were post-annealed in 1 atm of oxygen at 1000 °C for 6 h. The dielectric Q (defined as 1 / tanδ) and the dielectric constant, εr, were measured at room temperature, at 2 GHz, using gap capacitors fabricated on top of the dielectric films. The percent dielectric tuning (defined as (εr(0 V) − εr(40 V)) / εr(0 V) × 100) and figure of merit (FOM) (defined as percent dielectric tuning × Q(0 V)) were calculated. The film deposited using the two-stage growth conditions, 6.7 / 46.7 Pa oxygen, showed a maximum Q(0 V) value with high percent dielectric tuning and gave rise to a microwave FOM twice as large as the single stage growth condition. The improved dielectric properties are due to initial formation of a film with reduced interfacial strain, due to the formation of defects at the film/ substrate interface resulting in a high Q(0 V) value, followed by the reduction of oxygen vacancies which increases the dielectric constant and tuning.  相似文献   

19.
徐华  沈明荣  方亮  甘肇强 《功能材料》2004,35(5):603-605,609
采用脉冲激光沉积法,在Pt/Ti/SiO2/Si基底上分剐制备厚度为350nm的Ba0.5Sr0.5TiO3(BST)、Pb0.5Ba0.5TiO3(PBT)和Pb0.5Sr0.5TiO3(PST)薄膜并研究了它们的介电性质。XRD显示,在相同的制备条件下三者具有不同的择优取向,PST具有(110)择优取向,PBT具有(111)择优取向,而BST则是混合取向。SEM显示三者样品表面均匀致密,颗粒尺寸大约在50nm至150nm之间。PST与BST、PBT相比有更高的介电常数,在频率为10kHz时,分别为874、334和355,而损耗都较低,分别为0.0378、0.0316和0.0423,同时PST漏电流也是最小的。测量薄膜的C-V特性扣铁电性能表明室温下BST呈现的是顺电相,PST和PBT则呈铁电相。本文也测量了薄膜在不同频率下的介电温度特性,BST、PBT和PST均表现出频率弥散现象,即随着频率的降低.居里温度降低而介电常数会升高。并测得BST和PST的居里温度分剐为-75和150℃。而PBT的居里温度在250℃以上。本文研究表明:与BST相比较,PBT的介电常数与之相近,漏电流较大;而PST具有高介电常数,较小的漏电流和较大的电容-电压调谐度,在相关半导体器件中的应用将有很大的潜力。  相似文献   

20.
(Ba,Sr)TiO3 films were prepared on Pt/Ti/SiO2/Si substrates by mirror-confinement-type electron cyclotron resonance (ECR) plasma sputtering as well as by metal-organic decomposition (MOD). The films prepared by ECR plasma sputtering were crystallized at lower temperatures with better crystallinity and a denser structure than those by MOD. As for dielectric constant, films prepared by ECR plasma sputtering exhibited a relatively high value over 500 at a low annealing temperature of 873 K, whereas films by MOD exhibited approximately 350. This is attributed to the better crystallinity and the denser structure of the films by ECR plasma sputtering. The leakage current density of the films was found to be similar in both processes.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号