首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 16 毫秒
1.
针对布拉格光纤光栅(FBG)微应变测量系统,在对FBG传感原理的分析基础上,结合M-Z干涉的相位生成载波(PGC)解调法,提出了测量系统的总体设计方案。基于本设计方案,进行了详细的光路设计、电路设计和软件设计,并针对PGC算法进行了仿真;搭建了测量系统实物,对光路重要参数进行了标定。仿真结果显示调制度C取5.3rad时信号具有较大幅值,且对于大于100Hz的传感信号具有较好解调效果。  相似文献   

2.
为了获得导弹的测试技术条件,需要获取导弹上各部分的信号波形,以及它们的产生时序。导弹上的信号有高频信号和低频信号,本文设计一个数据采集系统,读取导弹上的信号,进行波形显示,同时存储在计算机中,供以后对导弹的组成,工作原理进行分析时提供参考。  相似文献   

3.
本文重点分析了用电工方法(以直角坐标式交流电位差计方法为例)对相位进行测量时,不同的频率对测量结果产生的不同影响。以US2型交流电位差计工作原理为例,通过公式推导并附以实验数据给出了频率的修正公式。在应用上具有一定的借鉴作用。  相似文献   

4.
长期运行的气体绝缘组合电器(GIS)设备可能存在SF6气体泄漏问题,进而导致设备安全性下降。针对该问题,采用超声原理测量SF6气体浓度,该方法具有测量范围广、设计简单等优点,且易于实现在线式监测。建立了双腔室中超声信号传播相位差与SF6气体浓度的理论模型,并研制了一套基于超声探测的SF6浓度在线监测系统。为提高测量精度,设计了一种基于DFT算法的数字相位计,通过对采样信号进行多项式插值加Hanning窗,进而实现相位和幅值修正。实验表明,使用数字相位计能将SF6浓度误差率控制在5%左右,目前该系统在贵州某变电站已投入运行。  相似文献   

5.
传统的脉冲调制射频(Pulsed RF)信号普遍采用时域、包络域测量手段进行测试。本文则提出了一种全新的频域测量方法:通过在非线性网络分析仪平台上测量脉冲调制信号的幅度谱和相位谱,经过频谱缝合和外推等处理获得其完整的频谱信息,从而重构出时域波形和包络。实验表明,该频域测量方法的相位谱测量准确度能够达到±1°,通过频谱外推能够获得平滑的时域包络。和传统的时域、包络域测量手段相比,该方法继承了频域测量高动态范围的优势,能够获得更好的测量精度。  相似文献   

6.
介绍基于LabVIEW的虚拟信号检测仪的工作原理、系统组成、设计步骤以及系统调试与仿真方法.运用FFT的选频特性及相位校正实现对正弦信号中的直流分量、幅值、频率和相位(差)的精确测量.结果表明,系统测量精度高、抗干扰能力强.  相似文献   

7.
问电子电位差计中的滤波装置有什么用途? 答 XW系列仪表在测量前都有滤波装置,其功用是抗串模干扰。所谓串模干扰(也称横向干扰)指的是在仪表的正负信号线之间拌随着直流电压信号(工作信号)进来的交流电压,一般认为是50Hz的正弦交流波形。  相似文献   

8.
胡玲  潘征宇  洪扁  赵栋 《光学仪器》2014,36(3):258-262,278
基于Altera公司FPGA芯片,提出了一种基于双频激光干涉仪系统中数字相位计的实现方法。该相位计用于测量系统中被测信号和参考信号之间的相位差角度,间接测量激光干涉仪的光程差信息。被测信号经过光电接收器以及A/D模数转换成数字信号送到FPGA芯片中,与FPGA内建的查找表参考信号做正交相关法解调运算,得到一组X-Y值,再利用CORDIC算法计算arctan函数获取相位差,最后计算出干涉仪的光程差,算法的全过程使用FPGA硬件实现。实验结果表明,该相位计使双频激光干涉仪的相位差测量精度在0.01°以内。  相似文献   

9.
一种多频率同步信号激励电流源设计   总被引:3,自引:0,他引:3  
生物电阻抗频谱(BIS)多频率同步快速测量系统中的激励电流源必须满足频谱宽、谱能量均衡、相位同步、输出阻抗高等特殊要求.基于Walsh函数设计了一种周期、二值的九频率同步信号f(9,t),它在1、2、4、8、16、32、64、128和256次等9个主谐波上相位同步,能量分布均衡,功率总和占到了平均总功率的65.52%.介绍了f(9,t)的FPGA实现方法和电压控制电流源(VCCS)驱动电路设计.负载实验表明,VCCS的负载电压理论仿真波形与实测波形高度一致,且具有较高的输出阻抗.确立了一种比较理想的多频率同步激励电流源,为BIS的多频率同步快速测量奠定基础.  相似文献   

10.
直线加速器中AFC(Automatic Frequency Con-trol)系统是保证出束的关键所在.我院直线加速器型号为PHILJPS SL75-14,AFC系统采用探针在矩形波导中提取出检测信号,通过比较、放大后,形成调谐信号以驱动磁控管调谐电机,控制磁控管产生相应的微波频率及相位.由于电子枪产生的电子团能量低,必须对电子进行加速才能获得所需的能量.电子的加速是在电磁场中进行的,电磁场的强度由微波控制.AFC系统的作用是让所选能量的电子团落在相应的电磁场的加速区上,获得最大电子能量,打靶后输出最大剂量率.其控制电路原理如图1所示.  相似文献   

11.
基于虚拟仪器的超声波高精度测时系统   总被引:1,自引:0,他引:1  
提出了一种基于相位法测量超声波信号时间延迟的测量技术,建立了超声波信号飞行时间测量的数学模型。针对超声波测量要求响应速度快、单次测量精度高的要求,依据信号相位匹配原理对超声波信号时延估计的理论和方法进行了理论推导与仿真,得出基于相位法的时间测量精度受接收信号信噪比的影响。通过虚拟仪器平台的实验,表明该测量系统的信号传播时间测量具有ns级的测量精度。  相似文献   

12.
介绍了基于法拉第效应的光学电流互感器(OCT)工作原理;给出了基于OCT技术的电力线路母线电流测试方案;为了实现对OCT的光强信号进行增益均衡化处理,提出了硬件实现自动增益均衡化方法,并利用锁相环技术实现了测量信号的相位同步;对OCT系统的测量误差进行了测试和分析,结果表明电流测量达到了互感器相关国家标准规定的0.2等级精度要求。  相似文献   

13.
磁感应断层成像中的一种高精度同步相位测量方法   总被引:2,自引:2,他引:0  
磁感应断层成像(magnetic induction tomography, MIT)是一种新兴的非接触医学成像方法, 在脑部病变连续动态检测方面具有良好的应用前景.测量过程中的相位漂移是影响MIT系统检测精度的主要因素.为了提高检测精度, 实现了一种实用的MIT相位测量方法, 可以快速进行相位测量而且可以长时间保持稳定, 具有很低的相位漂移水平.该方法实现了激励信号、检测信号、参考信号、本振信号以及采样时钟之间的完全同步, 并引入了正交序列解调算法, 提高了解调的速度.实验结果表明: 单次相位测量时间小于1 ms,1 min内的最大相位漂移小于0.005°, 1 h内的最大相位漂移小于0.008°.基于该相位测量方法建立了一个16通道MIT系统, 并获得了初步的低电导率(0.84 S/m和1.26 S/m)物理模型成像结果.  相似文献   

14.
在遥感测试系统中,模拟信号发生器用于模拟动态测试环境下不同传感器输出信号和在存储器、采编器调试时提供标准信号,从而进行设备测试或数据分析。设计采用"FPGA(DDS相位控制)+单片DAC+多路模拟开关阵列"的方法实现32路任意波形输出。上位机软件中设置波形的幅值、频率、相位等信息,通过FPGA对参数控制字进行检测,改变对应通道的模拟开关导通状态,从而实现对输出波形通道间相位差的任意控制。  相似文献   

15.
为满足大型建筑全天候、高精度、远距离、低成本等位移测量需求、突破主要结构位移监测方法的局限,研究了微波相位雷达在位移监测中的优点与问题,针对问题详细分析了发射机泄漏、多路径回波等干扰信号降低雷达测量精度、限制工作距离的原理,据此提出了有源微波相位雷达位移测量方法,即在目标处采用有源反射器使雷达发射信号和回波信号频率不一致,进而有效消除发射机泄漏等干扰的影响,利用降频技术和频谱分析测相方法实现高精度位移测量,还采用有源反射器增大回波功率以扩大雷达工作距离。最后,选用2.4/2.5 GHz成熟频段、低成本器件搭建实验系统,实验结果表明,测相单元测量标准差可达0.012°,且系统在120 m工作距离下,位移测量精度为0.1 mm,整套系统成本低廉,可为大型建筑位移监测提供解决思路。  相似文献   

16.
基于Altera公司cycloneⅢ系列EP3C5芯片,提出了一种基于电定标热释电辐射计(ECPR)系统中一种数字相位计的实现方法.该相位计的作用是调整系统中被测信号和参考信号之间的相位差,使得相敏检波的幅度达到最大,从而提高光功率的检测精度.将被测信号A/D转换成数字信号送到FPGA芯片中,与查找表中的参考信号做I-Q正交解调算法,得到一组I-Q值利用CORDIC算法计算arctan函数荻取相位差,最后做出相应的信号同步调整.实验结果表明,该相位计使辐射计系统的测量光功率值的精度得到了改善和提高,系统运行更加稳定,当测量精度为1mW,其不确定度为1%.  相似文献   

17.
DW1振动──位移测量仪是一种电容调频原理的天接触式机械量测量仪器。它具有电压和电流输出,供电子示波器显示或振子记录仪记录被测信号的波形。适当改变传感器结构,还可以测量力和气、液的压力等参数。该仪器尤其适合于精密机床主轴的振动和轴心漂移的测量。该仪器具有以下特点; 1.测量头与被测物体不接触,。因此,具有无摩擦、无惰性、工作可靠等优点。 2.灵敏度高:采用相位式鉴频器,灵敏度大于 1伏/千周。振荡器的振荡频率较高,最高为4兆周。仪器在最高档(±1微米)工作时。仪器总灵敏度S≥4伏/微米。 3.稳定性较好:对决定仪器稳定性的…  相似文献   

18.
针对汽车类专业学生做单片机原理及应用实验时遇到的信号测量问题,在"三性实验"教学指导下,设计了一个基于STM32的便携式数字示波器实验系统。该实验系统的硬件平台以STM32微控制器为核心,设计了信号采集、模数转换、程控放大、电平抬升、频率测量电路等具体功能电路;软件方面基于Keil开发环境设计了同步触发、频率计算、信号峰峰值计算等嵌入式软件,并通过低功耗蓝牙(BLE)技术,将计算处理后的波形、频率等数据发送到手机APP上进行显示。测试表明,该实验系统能可靠、便捷地完成学生在做单片机实验时遇到的信号测量,达到了实验教学的目标。  相似文献   

19.
本文介绍FT-80A核磁共振波谱仪(NMR)锁场系统的部分故障,即虚假锁信号,无锁信号和锁灯不亮等.根据故障现象、电路工作原理、波形和NMR原理分析了故障原因,找出故障部件或器件,并给出了锁场系统的部分波形和参考数据.  相似文献   

20.
当前微波双向时间比对技术广泛应用于视距区域站间时间同步领域,能够达到纳秒站间时间同步水平,对于时间同步 指标更高的应用场景还有待进一步提升。 本文利用大带宽扩频信号和载波相位在时间测量性能方面的潜在优异性能,提出了 基于宽带信号调制解调技术的微波双向载波相位时间比对方法,设计了原型试验系统,利用氢原子钟和相位微跃计验证了实验 室条件下系统的测量不确定度(A 类)0. 27 ps 和分辨力 4 ps,达到了预期效果,为后续远距离试验和应用推广奠定了坚实的技 术和试验基础。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号