首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Orthogonal cutting experiments were carried out on steel at different feedrates and cutting speeds. During these experiments the chip temperatures were measured using an infrared camera. The applied technique allows us to determine the chip temperature distribution at the free side of the chip. From this distribution the shear plane temperature at the top of the chip as well as the uniform chip temperature can be found. A finite-difference model was developed to compute the interfacial temperature between chip and tool, using the temperature distribution measured at the top of the chip.Nomenclature contact length with sticking friction behaviour [m] - c specific heat [J kg–1 K–1] - contact length with sliding friction behaviour [m] - F P feed force [N] - F V main cutting force [N] - h undeformed chip thickness [m] - h c deformed chip thickness [m] - i,j denote nodal position - k thermal conductivity [W m–2 K–1] - L chip-tool contact length [m] - p defines time—space grid, Eq. (11) [s m–2] - Q C heat rate entering chip per unit width due to friction at the rake face [W m–1] - Q T total heat rate due to friction at the rake face [W m–1] - Q % percentage of the friction energy that enters the chip - q 0 peak value ofq(x) [W m–2] - q e heat rate by radiation [W] - q(x) heat flux entering chip [W m–2] - t time [s] - T temperature [K] - T C uniform chip temperature [°C] - T max maximum chip—tool temperature [°C] - T mean mean chip—tool temperature [°C] - T S measured shear plane temperature [°C] - x,y Cartesian coordinates [m] - V cutting speed [m s–1] - V C chip speed [m/s] - rake angle - ,, control volume lumped thermal diffusivity [m2 s–1] - emmittance for radiation - exponent, Eq. (3) - density [kg m–3] - Stefan-Boltzmann constant [W m–2 K4] - (x) shear stress distribution [N m–2] - shear angle  相似文献   

2.
The behaviour of a drill and a clamping unit was investigated in high-performance drilling. Some clamping units were characterised experimentally. In a series of experiments, the free-rotating drill behaviour, and the drilling events were investigated under high-performance conditions. A non-rotating measurement system, including proper procedures for signal processing, enabled the presentation of all measured values in terms and coordinates of the rotating tool. This led to a better understanding of the first-contact event, the penetration and the full drilling phases, as well as the influence of the clamping unit under different cutting conditions.Notation F impulse test exciting force [N] - Fz drilling axial force [N] - F x F y drilling lateral force components [N] - F T drilling table speed (mm min–1) - L drill overhang - T drilling torque [Nm] - X, Y, Z world coordinates [mm] - X T,Y T,Z T rotating tool coordinates [mm] - L hole location error [mm] - drill diameter [mm] - rotating angle [°] - R drill end circular movement fadius in world coordinates [mm] - X, Y drill end deflection in world coordinates [mm] - X T, Y T drill end deflection in world coordinates [mm] =2R  相似文献   

3.
Machining accuracy is considerably affected by the deflection of the machine-tool-workpiece system under the action of the cutting force. A new model to estimate a bar diameter error due to the deflection of the tool, of the workpiece-holder and of the workpiece was defined by the authors, starting from a cutting force model. This work deals with a comparison among the bar diameter errors that are calculated by means of the developed model involving three different cutting force models. The considered cutting force models were the specific cutting resistance, the Kronenberg cutting force and the unified-generalised mechanics of the cutting force model developed by Armarego. The numerical results were compared with those obtained by experimental tests carried out through a parallel lathe. The results show that the Armarego's cutting force model provides values of the force components and, therefore, the values of the resulting bar diameter errors are closest to the experimental ones.Nomenclature a The longitudinal position of the tool, [mm] - apn The nominal depth of cut, [mm] - ap The real depth of cut, [mm] - b The width of area of cut, [mm] - A The tool-workpiece interference area of cut, [mm2] - AB The generalised cutting edge vector - Ar The area of the workpiece cross section, [mm2] - cs The spindle compliance, [mm/N] - ct The tailstock compliance, [mm/N] - ctht The tangential toolholder compliance, [mm/N] - cthr The radial toolholder compliance, [mm/N] - D The workpiece diameter, [mm] - E The modulus of elasticity, [N/mm2] - f The feed, [mm/r] - Frad The radial component of the cutting force, [N] - Ffeed The feed component of the cutting force, [N] - Ftan g The tangential component of the cutting force, [N] - Fi The resultant of Ffeed and Ftan g, [N] - G The shear modulus, [N/m2] - h The thickness of the area of the cut, [mm] - I The workpiece moment of inertia, [mm4] - L The workpiece length, [mm] - Pi The plane containing the inflected curve of the workpiece - Pf The tool assumed working plane - Pn The cutting edge normal plane - PnG The generalised cutting edge normal plane - Pr The tool reference plane - rl The chip length ratio - R The workpiece radius, [mm] - Rb The tailstock reaction force, [N] - Rs The spindle reaction force, [N] - S The shape factor - vc The cutting speed, [mm/min] - ve The resultant cutting speed, [mm/min] - vf The feed speed, [mm/min] - vch The chip speed, [mm/min] - vsh The shear speed, [mm/min] - w(z) The total deflection of the workpiece axis, [mm] - wa The total displacement of the workpiece axis from z reference axis measured in Pi plane, [mm] - (z) The orientation of Pi with respect to Frad and Ftan g, [degree] - The friction angle, [degree] - n The normal friction angle, [degree] - nG The generalised normal friction angle, [degree] - The shear factor - n The normal shear angle, [degree] - NG The generalised normal shear angle, [degree] - f The tool side angle, [degree] - n The tool normal rake angle, [degree] - nG The generalised tool normal rake, [degree] - P The tool back angle, [degree] - c The chip flow angle, [degree] - cG The generalised chip flow angle, [degree] - r The tool cutting edge angle, [degree] - rG The generalised tool cutting edge angle, [degree] - s1 The tool cutting edge inclination, [degree] - s2 The inclination of the secondary tool cutting edge [degree] - sG The generalised tool cutting edge inclination, [degree] - The friction coefficient - The work material shear stress, [MPa] - r The tool approach angle, [degree] - The approach angle of the secondary cutting edge, [degree]  相似文献   

4.
In this paper a model and the interactive program system MECCANO2 for multiple criteria selection of optimal machining conditions in multipass turning is presented. Optimisation is done for the most important machining conditions: cutting speed, feed and depth of cut, with respect to combinations of the criteria, minimum unit production cost, minimum unit production time and minimum number of passes. The user can specify values of model parameters, criterion weights and desired tool life. MECCANO2 provides graphical presentation of results which makes it very suitable for application in an educational environment.Nomenclature a min,a max minimum and maximum depth of cut for chipbreaking [mm] - a w maximum stock to be machined [mm] - C a, a, a coefficient and exponents in the axial cutting force equation - C r, r, r coefficient and exponents in the radial cutting force equation - C T, , , coefficient and exponents in the tool life equation - C v, v, v coefficient and exponents in the tangential cutting force equation - D w maximum permissible radial deflection of workpiece [mm] - F a axial cutting force [N] - F b design load on bearings [N] - F c clamping force [N] - F k /* minimum value of criterionk, k=1, ...,n, when considered separately - f m rotational flexibility of the workpiece at the point where the cutting force is applied [mm Nm–1] - f r radial flexibility of the workpiece at the point where the cutting force is applied [mm N–1] - F r radial cutting force [N] - F tmax maximum allowed tangential force to prevent tool breakage [N] - F v tangential cutting force [N] - k slope angle of the line defining the minimum feed as a function of depth of cut [mm] - l length of workpiece in the chuck [mm] - L length of workpiece from the chuck [mm] - L c insert cutting edge length [mm] - M g cost of jigs, fixtures, etc. [$] - M o cost of labour and overheads [$/min] - M u tool cost per cutting edge [$] - n number of criteria considered simultaneously - N q, Np minimum and maximum spindle speed [rev/min] - N s batch size - N z spindle speed for maximum power [rev/min] - P a maximum power at the point where the power-speed characteristic curve changes (constant power range) [kW] - R tool nose radius [mm] - r workpiece radius at the cutting point [mm] - r c workpiece radius in the chuck [mm] - s min,s max minimum and maximum feed for chipbreaking [mm] - T tool life [min] - T a process adjusting time [min] - T b loading and unloading time [min] - T d tool change time [min] - T des desired tool life [min] - T h total set-up time [min] - T t machining time [min] - V rt speed of rapid traverse [m/min] - W volume of material to be removed [mm3] - W k weight of criterionk, k=1, ...,n - x=[x 1,x 2,x 3 ] T vector of decision variables - x 1 cutting speed [m/min] - x 2 feed [mm/rev] - x 3 depth of cut [mm] - approach angle [rad] - a coefficient of friction in axial direction between workpiece and chuck - c coefficient of friction in circumferential direction between workpiece and chuck  相似文献   

5.
The surface chemistry of tributylphosphate (TBP) and tricresylphosphate (TCP) on a polycrystalline Fe surface was studied using temperature programmed reaction spectroscopy and Auger electron spectroscopy to illustrate some of the initial steps in the reaction mechanisms of alkyl and arylphosphate vapor phase lubricants. During heating, TBP [(C4H9O)3P=O] adsorbed on the Fe surface decomposes via C–O bond scission to give butyl surface intermediates [C4H9–] that react via β-hydride elimination to desorb as 1-butene [CH3CH2CH=CH2] and H2 without appreciable carbon deposition onto the surface. The thermal decomposition of 1-iodobutane [I-C4H9] on Fe was observed to proceed via the same β-hydride elimination mechanism. In contrast to tributylphosphate, meta-tricresylphosphate (m-TCP) [(CH3–C6H4O)3P=O] decomposes on Fe via P–O bond scission to produce methylphenoxy intermediates [CH3–C6H4O–]. During heating to 800 K, methylphenoxy intermediates either desorb as m-cresol [CH3–C6H4–OH] via hydrogenation or decompose further to generate tolyl intermediates [CH3–C6H4–]. Some of the tolyl intermediates desorb as toluene [CH3–C6H5] via hydrogenation but the majority decompose resulting in H2 and CO desorption and carbon deposition onto the Fe surface. The P–O bond scission mechanism of m-TCP was verified by showing that the temperature programmed reaction spectra of m-cresol yield products that are almost identical to those of m-TCP. These results provide insight into the origin of the differences in the performance of alkyl and arylphosphates as vapor phase lubricants. The alkylphosphates decompose via alkyl intermediates that readily undergo β-hydride elimination and desorb into the gas phase as olefins, thus removing carbon from the surface. In contrast, the arylphosphates generate aryloxy intermediates by P–O bond scission and aryl intermediates by further C–O bond scission. Neither of these intermediates can undergo β-hydride elimination and thus they decompose to deposit carbon onto the Fe surface. The higher efficiency for carbon deposition may be the primary reason for the superior performance of the arylphosphates over alkylphosphates as vapor phase lubricants.  相似文献   

6.
There are three methods in use for separating diamonds, i.e. by cleaving, by laser beam and by sawing. Sawing is one of the main methods used for this purpose. This operation is carried out on special sawing machines equipped with a sawing disk blade, 0.04–0.14 mm thick and 76 mm initial diameter. The rotational velocity (n) of the disk is between 6000 and 12 000 r.p.m. Diamond powder is embedded in the periphery of the disk. The outcome surface of a diamond after the sawing operation must be flat and smooth, Whenever such a surface is actually obtained, the polishing time and the loss in size and weight of the diamonds are reduced.In the present work, the positioning of the diamond to be sawed, with respect to an embedded particle in the disk, to create a favourable cutting angle is discussed. This would make it possible to reduce the rake angle () to near-zero, and thereby the cutting forces. Furthermore, a method to control the morphology and grain size of the diamond powder to be used in the cutting was developed.In the diamond industry, two modes of sawing operations are in practice. One uses the periphery of the disk for the sawing while the other employs a circular hole in the centre of the disk. Analysis of the two modes showed that the hole mode is more promising, as the design in that case requires tensioning of the disk and makes for better lateral stability during the sawing process. In addition the tangential and the radial stresses, developed in both sawing methods, were calculated. To support the above, data was obtained from existing literature and analysed.Nomenclature n rotational velocity of the disk, r.p.m. - rake angle, degrees - back clearance angle, degrees - cutting angle, degrees - m relative frequency - f feed - b disk radius, mm - a disk hole radius, mm - r current disk radiusb>r>a, mm - density of disk material, kg m–3 - angular velocity - Poisson ratio of disk material - g acceleration of gravity, m s–2 - r radial stress, kg cm–2 - r max highest radial stress, kg cm–2 - t tangential stress, kg cm–2 - tangential stress at outside circumference, kg cm–2 - tangential stress at inside circumference, kg cm–2  相似文献   

7.
Selective assembly can enlarge the tolerances of mechanical components for easier manufacturing. However, the non-independent dimensions of correlated components make it difficult to optimise tolerance allocation for an assembly. This paper proposes a solution for this constrained optimisation problem consisting of tolerances and non-independent dimensions as design variables. The approach is to develop a simplified algorithm applying a Lagrange multiplier method to evaluate the optimal tolerances efficiently. The solution is shown to be a global optimum at the given correlation coefficients. The correlation coefficients are key elements in determining the optimal solution, which is demonstrated in the given examples. The results are helpful in designing tolerances for selective assembly.Notation A j coefficient matrix off j - B i coefficient of cost function - C total manufacturing cost function - C i manufacturing cost function forx i - F j thejth dimensional constraint function - f j thejth quadratic constraint function - f quadratic constraint vector - H j thejth Hessian matrix - J kj element ofn×m Jacobian matrix - L Lagrangian - m number of assembly dimensions - n number of component dimensions - p number of equality dimensional constraints - T tolerance vector of component dimensions [mm] or [°] - tolerance ofx i [mm] or [°] - tolerance ofZ j [mm] or [°] - x component dimension vector - x midpoint vector - x i component dimension [mm] or [°] - x i midpoint ofx i [mm] or [°] - Z j assembly dimension [mm] or [°] - j confidence coefficient forZ j - i confidence coefficient forx i> - j given design value ofZ j [mm] or [°] - Lagrange multiplier vector - j thejth Lagrange multiplier - * Lagrange multiplier vector at the optimum solution - correlation coefficient forx i andx k - x standard deviation vector - x * standard deviation vector at the optimum solution - x 0 candidate point satisfying the constraintsf( x * )=0 - standard deviation ofx i   相似文献   

8.
A high-temperature ball-on-flat tribometer was used to investigate dry and oil-lubricated friction and wear of sintered Si3N4 and Si3N4/hexagonal boron nitride (H-BN) fibrous monoliths. The friction coefficients of base Si3N4 flats sliding against Si3N4 balls were in the range of 0.6–0.8 for dry and 0.03–0.15 for lubricated sliding, and the average wear rates of Si3N4 were 10–5 mm3 N–1 m–1 for dry sliding and 10–10–10–8 mm3 N –1m–1 for lubricated sliding. The friction coefficients of Si3N4 balls against composite fibrous monoliths were 0.7 for dry sliding and 0.01–0.08 for lubricated sliding. The average specific wear rates of the pairs were of the same order as those measured for the conventional Si3N4 pairs. However, the fibrous monoliths, in combination with sprayed dry boron nitride, resulted in reduction in the lubricated friction coefficients of the test pairs and significant reduction in their wear rates. The most striking result of this study was that the coefficients of friction of the Si3N4/H-BN fibrous monolith test pair were 70–80 lower than those of either roughened or polished Si3N4 when tests were performed under oil-lubricated sliding conditions over long distances (up to 5000 m). The results indicated that Si3N4/H-BN fibrous monoliths have good wear resistance and can be used to reduce friction under lubricated sliding conditions.  相似文献   

9.
The problem of initial damage in angle-ply [−θm/0nm] and [−θ/θ] ceramic matrix composites subjected to axial tension is considered in this paper. The damage is in the form of matrix cracks that may appear in either inclined (−θ and θ lamination angle) or longitudinal layers. As follows from the analysis, if the lamination angle of the inclined layers is small, the initial failure occurs in the 0-layers of [−θm/0nm] composites or in [−θ/θ] composites in the form of bridging cracks. However, if the inclined layers form a larger angle with the load direction, they fail due to tunneling cracks. It is shown that the boundary between two different modes of failure in a representative SiC/CAS composite corresponds to a lamination angle equal to 35° in the case of [−θm/0nm] composites. In the case of [−θ/θ] laminates, the boundary value of the lamination angle is equal to 45°, i.e. bridging cracks form if θ<45° and tunneling cracks appear if θ>45°.  相似文献   

10.
A LIRA interferometric system (active laser interferometer–reflector) is designed for measuring the plasma density and controlling the degree of modification of the reflector surface under conditions of intense vibrations of a plasma facility. The operating principle of the system is based on the intralaser (autodyne) reception of the radiation reflected into the laser. An element of the structure of the laser facility, including a diffusely reflecting surface, can be used as a reflector. The interferometer is built on the basis of two Zeeman He–Ne lasers generating at wavelengths of 632.8 and 3392.2 nm. Under conditions of an actual plasma experiment, a sensitivity of 5 × 10–7 has been achieved, which, when converted into the linear plasma electron density, is n e L = 2.5 × 1010 cm–2. The highest time resolution is 10 ns. The interferometer can operate using reflectors with a coefficient of power reflection into the laser of up to 1 × 10–12.  相似文献   

11.
Conditions for the one-to-one characterization of the generation (G s) and surface recombination (R s) rates of minority charge carriers (MCCs) in a metal–oxide–semiconductor (MOS) structure (in the case of strong nonequilibrium depletion) by the MCC surface generation current (I(t)) flowing in an external circuit of this structure are revealed. These conditions are the following: (1) the generation current I is independent of the time t (until the structure enters an equilibrium state) and the voltage V g 0 corresponding to the initial nonequilibrium depletion and (2) the duration of current steps I(V g 0) = const and, consequently, the equilibrium surface charge increase with increasing V g 0. The observed kinetics of the MCC generation current for the MCCs induced in an n-Si MOS structure at 293 K experimentally confirms the realization of these conditions. The values of the generation and recombination rates G s = 2.84 × 1010 cm–2s–1 and R s = 6.82 cm s–1 obtained from current levels I(V g 0) = const are typical of high-quality Si MOS structure. Additionally measured capacitance–voltage characteristics were used to determine the interface state density at the Si/SiO2 contact near the middle of the Si gap (N ss(E) 6.4 × 1010 cm–2eV–1), which allowed the estimation of the effective capture cross section of these states eff 1.4 × 10–16 cm2.  相似文献   

12.
Assuming plastic hardening of metals are specified by the stress–strain curve in the form , the material parameters σ0, k and m are identified from spherical indentation tests by measuring compliance moduli in loading and unloading of the load–penetration curve. The curve P(hp) is analytically described by a two term expression, each with different exponents. Here, εp and hp denote the plastic strain and permanent penetration. The proposed identification method is illustrated by specific examples including numerical and physical identification tests.  相似文献   

13.
This research attempts to develop spindle deflection error models for high-speed machining systems. A model for determining total spindle deflection at the tool-end is presented. The model incorporates spindle bearing characteristics, shifts in ball contact angles, and centrifugal force and gyroscopic moment effects at high speeds. It uses the transfer matrix method to determine the total deflections at the tool-end based upon the point contact deformations at the individual balls of an angular contact ball-bearing assembly. A simulator is also developed for simulating spindle end deflections for various spindle rotational speeds. The results of the simulation show contact angle variations and peak deflections at particular spindle rotational speeds. Important research issues are also presented.Nomenclature AF final position, inner raceway groove centre - RF initial position, inner raceway groove centre - W final position of ball centre - V initial position of ball centre - D ball diameter, mm - r o inner raceway groove radius, mm - r i inner raceway groove radius, mm - M gyroscopic moment, N-mm - FO r o/D - FI r i/D - P bearing pitch diameter, mm - K o outer race load-deflection constant, N/mm1.5 - K i inner race load-deflection constant, N/mm1.5 - CF centrifugal force, N - J mass moment of inertia, N.mm2 - l length of spindle, mm - E modulus of elasticity, N/mm2 - I moment of inertia of spindle, mm4 - Y deflection of spindle alongy-direction, mm - z deflection of spindle alongz-direction, mm - M moment at spindle end, N.mm - V shear force at spindle end, N - m spindle mass, kg - material density - o outer race contact angle - i inner race contact angle - nominal contact angle - i inner race deformation - o outer race deformation - angle between ball centre of rotation and the horizontal - mis-alignment (in degrees) of shaft assembly measured in a plane perpendicular to shaft axis (x-direction) - W1 ball and raceway angular raceway velocity ratio for outer raceway control - W2 ball orbital and angular raceway velocity ratio for rotating inner raceway and outer raceway control - circumferential ball position - raceway control parameter  相似文献   

14.
Determination of stretch-bendability of sheet metals   总被引:2,自引:0,他引:2  
Today's sheet-metal forming industry relies mostly on experience-based methods for finding the forming limits which assure successful forming processes. Such methods are inefficient and there is an obvious need for cost-effective knowledge-based computer-aided techniques.In this paper, a mathematical model for the stretch-bending processes is introduced. The model is capable of performing all calculations necessary to determine the effect of material properties on the process parameters such as forming loads, product geometry, springback, and residual stresses. From this model, the significance of various material parameters from productivity, ease of fabrication, and tool design viewpoints can be evaluated. This should contribute to the development and optimum use of sheet materials with improved properties.Notation c,d distances on the cross-section of the beam, m - h depth of the cross-section of the beam, m - K,n material constants in the power law equation: =K n - M bending moment, Nm - M e maximum elastic bending moment, Nm - m non-dimensional bending moment,M./M e - N axial tensile force, N - N e maximum elastic tensile force, N - n r non-dimensional axial force,N/N e - non-dimensional parameter,c/(h 2) - non-dimensional parameter,d/(h 2) - effective stress, MPa - effective strain  相似文献   

15.
The analysis of the current method of transferring data between the designer and the manufacturer of printed circuit boards has suggested that the use of a manufacturing workstation in the manufacturing process can result in the production of higher quality circuit boards. In order to transmit the design between the workstation and the production database, a neutral format is suggested. The various production processes change the physical and electrical properties of the boards, use of the neutral format allows the manufacturer to take into account the idiosyncrasies of his production line and produce boards to a higher standard. By using a generic representation of the machine languages used to drive the tools (along with the neutral format), the manufacturer should be able to add new machines to the production line quickly and easily.Nomenclature Symbol Value Units Meaning - C F capacitance between two tracks - l m length of a track - h m height of a general track - H 2.7×10–6 m height of a track. This is equal to the amount of copper plate on the board - t m distance between two tracks - dC F capacitance of a thin strip of the track - k None gradient of the line used as an approximation to the true shape of the track - t o m distance between the two tracks at the base of the tracks after etching - dh m height of a thin strip of the copper track - R resistance of a track - m resistivity of the copper used to make the track - w m width of a track - w b m width of a track at the base - w t m width of a track at the top - 0 8.85×10–12 F m–1 permittivity of free space - t 1.0005 None relative permittivity of air  相似文献   

16.
In this paper, a practical force model for the deburring process is first presented. It will be shown that the force model is more general than Kazerooni's model and it is suitable for both upcut and down-cut grinding. In terms of this force model, an algorithm of burr detection by using a 2D vision image is proposed. In the burr detection algorithm, the relevant data of burrs, such as frequency, cross-section area, and height are simplified so that they are functions of the burr contour only. Then, a fast tracking method of the burr contour (BCTM) is developed to obtain the contour data. Experiments show that the BCTM of this passive (i.e. without lighting) image system can be as fast as 18.2 Hz and its precision is 0.02 mm, so online burr detection and control by using the vision sensor is feasible.Nomenclature A burr cross-section area of the burr - A chamfer cross-section area of the chamfer - A n proportional factor - A work cross section area in the contact zone while deburringA work=A burr+A chamfer - w cutting width - w root thickness of the root of the burr - a depth of cut - a root burr heighta root=a(w root) - C 1 static cutting edge density - D equivalent wheel diameter - d s wheel diameter - d w workpiece diameterD=d w d s/(d w±d s)D=d s andd w for the deburring process - F h horizontal grinding force - F v vertical grinding force - F n normal grinding force - F t tangential grinding force - F n(K) normal grinding force of the Kazerooni's model - F t(K) tangential grinding force of the Kazerooni's model - F o threshold thrust force - f burr burr frequency - f n normal grinding force per active grain - f t tangential grinding force per active grain - f r first resonant frequency of the robot - f tool resonant frequency of the end-effector at the normal direction - exponential constant for describing the edge distribution = [(1 +n) + (1 –n)]/2 = (1 +n)/2 for = 0 [21] - K proportional factor of the force model of the grinding processK =A n 1–n / - K 0 specific contact force per contact length - K 1 specific chip formation force per contact length - V s wheel speed - V w workpiece speed - w metal-removal parameter - K 2 specific metal-removal parameter per wheel speedK 2 = w/V s - K c specific chip formation force per area - K f specific friction force per area - k constant for the parabolic burr - k 1,k 2,k 3,k 4 constants for the circular burr - L contact width between the wheel and the workpieceL is equal to the chamfer's hypotenuse length, orL=w root when there is no chamfer - l contact length - l k contact length between the wheel and the workpiece - m exponential constant for describing the edge shape 0m1m=1 for the deburring process [21] - N dyn number of engaged cutting edges per wheel surface - n exponential constant for describing the cutting process 0n1n=1 for the pure chip formation process andn=0 for the pure friction process [22] - average contact pressure - p exponential constant for describing the relationship between the static cutting edge and the wheel surface depth 1p2p=1 for linear case [21] - Q magnitude of the individual chip cross-section in the contact zone - r radius of the circular burr - Z w metal-removal rate - ,, exponential constants for describing the edge distribution [21] = (pm)/(p + 1) = 0 form = 1,p = 1 =p/(p) + 1 = 1/2 forp = 1 = (1 –n) = 1n/2 for = 1/2 - actual contact area between the wheel and the workpiece - coefficient of the sliding friction - variable of the contact angle - k maximum contact angle - m mean rotating angle - t half of the tip angle of the grains - ratio of tangential chip formation force to the normal chip formation force. Usuihideji has pointed out that = /(4tant) [29]  相似文献   

17.
A new approach is proposed for the on-line measurement of the maximum peak-to-valley roughness,R max, of a finished-turned surface in the feed direction. The method is based on solving the inverse problem of light scattering by using a linear least-square estimate of the angular scattered light pattern reflected from a surface. A laser system has been developed to capture the light reflected under different cutting conditions. The effects of the ambient room light as well as the workpiece's rotational speed and methods for thier compensation are also discussed. Good correlation was found between the optical and stylus-measuredR max.Nomenclature R max maximum peak-to-valley roughness within the sampling length - R q RMS surface roughness within the sampling length - R a arithmetically averaged roughness within the sampling length - z r.m.s. surface height within the sampling length - u r.m.s. slope of the surface within the sampling length - T correlation distance of the surface, defined as the distance in which the correlation coefficient,C(), equals e–1 - I(1,) intensity of reflected light - I m(1,2,) measured intensity of reflected light at instant - 1 angle of incidence of laser beam - 2 scattering angle defining a CCD pixel location (1 and 2 are measured with respect to the normal of the surface of the workpiece coincident with the centre of the laser beam) - v scattering vector of reflected light - x,z components ofv in thex andz direction, respectively - L sampling length associated with the laser spot on the surface of the workpiece - j representative location of a CCD pixel - j CCD pixel location corresponding to the mean light level - p j density function of the light intensity of thejth pixel - wavelength of laser light - nose radius of the cutting tool - ASLP angular scattered light pattern - K correction factor for the measured light intensity - S m standard deviation of the measured ASLP - S c standard deviation of the ASLP calculated from an estimatedR max - K control step size ofK - computational error, defined as =|S m–Sc|/S m - K a,Kb starting and ending point, respectively, within the search range forK - K c,Kd two points within (K a,Kb), determined by the golden section search method - V cutting speed (m/min) - f feed rate (mm/rev) - d depth of cut (mm) - H hardness of workpiece (found on Rockwell scale C) - CCD charge-coupled device  相似文献   

18.
Experiments with a multiparametric air-leakage transducer in a vacuum chamber with a volume of 180 m3 have been performed in the leakage range of 30–300 mTorr/s. The manufactured laboratory prototype of the device has the following characteristics: the dynamic detection range covers the diameters of holes of 0.1–0.5 mm at distances R 0.1–1.5 m from the leakage source at a through breakdown of casing with electric vacuum thermal insulation. Its power consumption is 3.0 W and its mass is 0.35 kg.  相似文献   

19.
The results of the voltage–capacitance spectroscopy of interface states in metal–insulator–semiconductor (MIS) structures are critical functions of the accuracy in determining the insulator capacitance C i, which is typically no higher than a few fractions of a percent. This substantially limits the energy range of the observed spectrum of the interface states (E 0.5 eV for Si-based MIS structures) and the sensitivity to the density of the interface states at the spectrum edges (N ss 1 × 1010 cm–2 eV–1). We propose a method for minimizing these errors that is based on a sequential variation of the initial estimate C i C i 0 C ij, j = 0, 1, 2, ... and the identification of singular points in the dependences and on C ij, where are the mean arithmetic values of the voltage difference between the experimental and ideal voltage–capacitance characteristic and are the rms deviations of the voltage values taken in the high-accumulation (ac) and inversion (in) regions from values. The highest (10–4%) accuracy in determining C i is achieved in the regions of the equidistant experimental and ideal voltage–capacitance characteristic. This method, combined with the technique of s / s diagrams, ensures an extension of E to 0.9 eV at N ss 1 × 1010 cm–2 eV–1 and the possibility of determining the sign and density of the fixed charge in the gate insulator.  相似文献   

20.
This paper presents a comparison of theoretically predicted optimum cutting speeds for decorative ceramic tile with experimentally derived data. Four well-established theoretical analyses are considered and applied to the laser cutting of ceramic tile, i.e. Rosenthal's moving point heat-source model, and the heat-balance approaches of Powell, Steen and Chryssolouris. The theoretical results are subsequently compared and contrasted with actual cutting data taken from an existing laser machining database. Empirical models developed by the author are described which have been successfully used to predict cutting speeds for various thicknesses of ceramic tile.Notation A absorptivity - a thermal diffusivity (m2/s) - C specific heat (J/kgK) - d cutting depth (mm) - E cut specific cutting energy (J/kg) - k thermal conductivity (W/mK) - J laser beam intensity (W/ m2) - L latent heat of vaporisation (J/kg) - l length of cut (mm) - n coordinate normal to cutting front - P laser power (W) - P b laser power not interacting with the cutting front (W) - q heat input (J/s) - R radial distance (mm) - r beam radius (mm) - s substrate thickness (mm) - S crit critical substrate thickness (mm) - T temperature (°C) - T o ambient temperature (°C) - T p peak temperature (°C) - T s temperature at top surface (°C) - t time (s) - V cutting speed (mm/min) - V opt optimum cutting speed (mm/min) - w kerf width (mm) - X, Y, Z coordinate location - x, y, z coordinate distance (mm) - conductive loss function - radiative loss function - convective loss function - angle between -coordinate andx-coordinate (rad) - coordinate parallel to bottom surface - angle of inclination of control surface w. r. t.X-axis (rad) - coupling coefficient - translated coordinate distance (mm) - density (kg/m3) - angle of inclination of control surface w.r.t.Y-axis (rad)  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号