首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
N. Umeda  N. Kishimoto 《Vacuum》2008,83(3):645-648
Thermal annealing effects on Zn+ ion-implanted silica glass (a-SiO2) have been studied in order to control void formation. Void formation in a-SiO2 with Zn+ ion implantation and subsequent oxidation has been observed using transmission electron microscopy (TEM). Zn+ ions of 60 keV were implanted into a-SiO2 to a fluence of 1.0 × 1017 ions/cm2. After the implantation, thermal annealing at 600 or 700 °C for 1 h in oxygen gas was conducted. In as-implanted state, metal Zn nanoparticles (NPs) of 10-15 nm in diameter are formed in the depth region around the projected range. The size of the Zn nanoparticles increases after the annealing at 600 °C in oxygen gas. Annealing in oxygen gas at 700 °C for 1 h caused two processes: (1) the migration of Zn atoms which formed Zn NPs in as-implanted state to the surface of the a-SiO2 substrate and (2) the transformation to the oxide phase on the substrate. The transportation of Zn NPs to the surface leaves voids of 10-25 nm in diameter inside the a-SiO2. These results indicate that the oxidation at 700 °C for 1 h causes the migration of Zn atoms to the surface without diffusion and recombination of vacancies which form the voids.  相似文献   

2.
Si1−xMnx diluted magnetic semiconductor films were deposited on the p-Si (100) single crystal wafer using magnetron sputtering method. Post-rapid thermal annealing treatments were performed at temperatures of 700 °C, 800 °C, and 900 °C in an argon atmosphere for approximately 5 min. Alternating gradient magnetometer, scanning electron microscope, atomic force microscope, X-ray diffraction and X-ray absorption near-edge structure spectra were employed to characterize magnetic properties and structure of the as-grown and annealed films. The films were about 2.8 μm thick and the RMS roughness of the surface was about 5-10 nm. All samples exhibit ferromagnetism at room temperature and the saturation magnetization reaches at the maximum value for the sample annealed at 700 °C. The silicide MnSi1.7 was observed in the annealed samples. X-ray absorption near-edge structure spectra indicated that Mn atoms preferred to occupy substitutional or interstitial sites instead of precipitating to form silicide when annealing at 700 °C. It is inferred that the observed ferromagnetism is attributed to the interstitial and substitutional Mn dimers, which existed mostly in the sample annealed at 700 °C. The weaker ferromagnetism of the 900 °C annealed sample was closely related to the increased content of Mn4Si7 compound.  相似文献   

3.
Ion implantation-induced nanoclusters were synthesized in reactive sputtered Ta2O5 films by Ge+ implantation and subsequent annealing. The effects of ion fluence and post-implantation thermal treatment on the kinetics of the nanoclustering were investigated. Ge+ ions with energy of 40 keV and fluences of 5 × 1015, 1 × 1016 and 5 × 1016 cm 2 were implanted in the Ta2O5 layers at room temperature. The samples were thermally treated by rapid thermal annealing in vacuum at 700 °C and 1000 °C for 30, 60 and 180 s. Structural studies of all samples were done by Cross-sectional Transmission Electron Microscopy in diffraction and phase contrast mode. Under optimized conditions (high implantation fluence, subsequent annealing) nanoclusters are formed around the projected ion range of the implanted Ge+ ions. The structure of the implanted Ta2O5 matrix changes from amorphous to orthorhombic when the annealing was performed at 1000 °C. Although the Ta2O5 matrix crystallizes, no evidence is obtained for crystallization of the embedded nanoclusters even after annealing at 1000 °C.  相似文献   

4.
We report the results from structural, electrical and magnetic measurements on Gd-implanted ion beam deposited zinc oxide (ZnO) films. 40 keV Gd ions were implanted into 150 nm thick ZnO films with fluence 2.8 × 1015 cm−2. RBS spectra reveal the implanted atoms are located in the near-surface region in as-implanted and up to 923 K annealed films, diffusing deeper into the films after 1073 K annealing. SEM images show that the average grain size increases from 10 to 30 nm upon annealing. High-resolution and energy-filtered transmission electron microscopy of a ZnO:Gd sample annealed at 923 K reveal the presence of Gd-rich regions in the film, but no evidence of pure Gd precipitates. Annealing increases the resistivity, and the carrier concentration decreases by as much as six orders of magnitude after annealing at up to 1073 K. All annealed films display a mix of paramagnetic, superparamagnetic and ferromagnetic behaviour extending to temperatures above 300 K that we attribute to the spatially inhomogeneous Gd distribution. The paramagnetic behaviour can be attributed to isolated Gd moments, while the ordered magnetic phases appear to arise from Gd-rich regions within the ZnO. X-ray absorption near edge spectroscopy provides evidence that there exist oxygen vacancies.  相似文献   

5.
Undoped ZnO films were grown on a c-plane sapphire by plasma-assisted molecular-beam epitaxy technique, and subsequently annealed at 200-500 °C with steps of 100 °C in water vapour and hydrogen ambient, respectively. It is found that the c-axis lattice constant of the ZnO films annealed in hydrogen or water vapour at 200 °C increases sharply, thereafter decreases slowly with increasing annealing temperature ranging from 300 °C to 500 °C. The stress in the as-grown ZnO films was more easily relaxed in water vapour than in hydrogen ambient. Interestingly, the controversial luminescence band at 3.310 eV, which is often observed in photoluminescence (PL) spectra of the ZnO films doped by p-type dopants, was observed in the PL spectra of the annealed undoped ZnO films and the PL intensity increases with increasing annealing temperature, indicating that the 3.310 eV band is not related to p-type doping of ZnO films. The electron concentration of the ZnO films increases sharply with increasing annealing temperature when annealed in hydrogen ambient but decreases slowly when annealed in water vapour. The mechanisms of the effects of annealing ambient on the properties of the ZnO films are discussed.  相似文献   

6.
The microstructural changes that occur during annealing of ultra-thin oxygen-implanted silicon-on-insulator have been studied using transmission electron microscopy (TEM), Rutherford backscattering spectrometry (RBS), and Auger electron spectroscopy (AES). Silicon substrates were implanted at 65 keV with a dose of 4.5×1017 O+ cm–2, followed by annealing at various temperatures. TEM results show that the defects observed in the as-implanted material (stacking faults and {1 1 3} defects) were reduced after annealing at 900 °C for 2 h and were eliminated after annealing at 1100 °C for 2 h. A continuous buried oxide (BOX) layer was formed after annealing at 1300 °C for 6 h. Numerous silicon islands were present in the BOX layer. The silicon islands can be traced to a precursor structure that developed at the implantation step. RBS results indicate that the crystallinity of the top Si layer is significantly restored after annealing at 1100 °C for 2 h and is completely restored after annealing at 1300 °C for 6 h. It was also found through AES analysis that the redistribution of oxygen during annealing is initiated at 1100 °C.  相似文献   

7.
Self assembled molecular beam epitaxy grown GaN quantum dots stacked with AlN spacers were implanted with Eu ions. The as-implanted samples were further submitted to thermal annealing treatments in nitrogen, between 1000 °C and 1200 °C. Eu3+ luminescence was observed in all samples with the most intense emission assigned to the 5D0 → 7F2 transition in the red spectral region. The preferential excitation paths of Eu3+ luminescence is explored using photoluminescence excitation measurements which allow us to identify the feeding mechanisms for the Eu3+ ions inside the GaN quantum dots and AlN host. Optically active Eu centres in both GaN QD and AlN layers could be identified. For low implantation fluence the Eu centres inside GaN QD are dominant while for high fluences the emission arises from Eu in the AlN layers. The annealing temperature, on the other hand, does not cause any change in the local environment of the Eu-ions.  相似文献   

8.
Mg+ ions (60 keV) were implanted into GaN nanowires (NWs) with total fluxes of 5 × 1012-5 × 1014 cm−2 followed by thermal annealing at 700 °C in N2 ambient. Transmission electron microscopic images showed amorphous layer formation and defect accumulation in the higher dose Mg-implanted GaN NWs after annealing. Photoluminescence spectra (300 K) of the annealed Mg-implanted GaN NWs exhibited near-band-edge (NBE) emission, donor-acceptor pair (DAP) emission, and defect-related yellow luminescence. With increasing dose, the NBE and DAP emissions are red shifted. Similar phenomena were observed in samples implanted with Ar to produce similar amounts of lattice disorder. The NWs show a much higher sensitivity to defect accumulation than GaN thin films.  相似文献   

9.
Titanium oxide thin films were deposited by DC reactive magnetron sputtering on ZnO (80 nm thickness)/soda-lime glass and SiO2 substrates at different gas pressures. The post annealing on the deposited films was performed at 400 °C in air atmosphere. The results of X-ray diffraction (XRD) showed that the films had anatase phase after annealing at 400 °C. The structure and morphology of deposited layers were evaluated by scanning electron microscopy (SEM) and atomic force microscopy (AFM). The surface grain size and roughness of TiO2 thin films after annealing were around 10-15 nm and 2-8 nm, respectively. The optical transmittance of the films was measured using ultraviolet-visible light (UV-vis) spectrophotometer and photocatalytic activities of the samples were evaluated by the degradation of Methylene Blue (MB) dye. Using ZnO thin film as buffer layer, the photocatalytic properties of TiO2 films were improved.  相似文献   

10.
L.X. Phua  F. Xu  Y.G. Ma  C.K. Ong 《Thin solid films》2009,517(20):5858-211
Cobalt ferrite films were prepared by spray pyrolysis with post-annealing. For the as-deposited film, the differential scanning calorimetry measurement shows a crystallization peak at around 375 °C during the isochronal heating at 20 °C/min, and the X-ray diffraction pattern shows its amorphous-like characteristic. The isothermal post-annealings were performed for 2 h at various temperatures from 400 to 700 °C, leading to the crystallization of films, forming the spinel structure. The cross-sectional analysis with scanning electron microscopy shows that the film's thickness keeps almost constant after annealing, and the layered granular structure appears when the annealing temperature is high. The magnetic hysteresis loops of as-deposited and annealed films show that both the saturation magnetization and coercivity increase with the annealing temperature, due to the crystallization of CoFe2O4 phase.  相似文献   

11.
This work reports XPS data on buried dielectric layers obtained using low-dose ion-beam synthesis. Oxygen and/or nitrogen were sequentially implanted with an energy of 150 keV into (100) n-type silicon wafers at temperatures about 650° and 350°C. After the implantation, the thermal annealing was performed at 1200°C. A method of analyzing a complex XPS spectrum was elaborated for investigation of phase composition. For the Si-N-O system, the method allowed silicon chemical state peaks associated with different numbers of oxygen and/or nitrogen atoms to be resolved in the Si2p3/2 spectrum. Studies show that both after high- and low-temperature implantation, before and after annealing, the buried dielectric consists of a silicon matrix with precipitates of stoichiometric silicon oxynitrid whose structure corresponds to the random bonding model.  相似文献   

12.
In this study, nanocolumnar zinc oxide thin films were catalyst-free electrodeposited directly on n-Si and p-Si substrates, what makes an important junction for optoelectronic devices. We demonstrate that ZnO thin films can be grown on Si at low cathodic potential by electrochemical synthesis. The scanning electron microscopy SEM showed that the ZnO thin films consist of nanocolumns with radius of about 150 nm on n-Si and 200 nm on p-Si substrates, possess uniform size distribution and fully covers surfaces. X-ray diffraction (XRD) measurements show that the films are crystalline material and are preferably grown along (0 0 2) direction. The impact of thermal annealing in the temperature range of 150-800 °C on ZnO film properties has been carried out. Low-temperature photoluminescence (PL) spectra of the as-prepared ZnO/Si samples show the extremely high intensity of the near bandgap luminescence along with the absence of visible emission. The optical quality of ZnO thin films was improved after post-deposition thermal treatment at 150 °C and 400 °C in our experiments, however, the luminescence intensity was found to decrease at higher annealing temperatures (800 °C). The obtained results indicate that electrodeposition is an efficient low-temperature technique for the growth of high-quality and crystallographically oriented ZnO thin films on n-Si and p-Si substrates for device applications.  相似文献   

13.
The effect of thermal annealing on the electrical properties of highly transparent conductive Ga-doped ZnO (GZO) films deposited on glass substrates at 200 °C by an ion-plating deposition was investigated. GZO films were annealed in the temperature range from 200 to 600 °C for 30 min under the atmospheric pressure of high-purity N2 gas. Up to 300 °C, GZO films were electrically very stable, and there was little change in resistivity. When the annealing temperature exceeded 400 °C, resistivity increased rapidly, originating from an abrupt decrease in carrier concentration. It was suggested to be due to both desorption of Zn from GZO films and grain boundary segregation of Ga dopants.  相似文献   

14.
We report a comparison of the role played by different sample treatments, namely, a low-temperature hydrothermal treatment by hot H2O vapor in an autoclave versus thermal annealing in air on the properties of ZnO films grown by electrochemical deposition (ECD). Scanning electron microscopy studies reveal a homogeneous micro-columnar morphology and changes in the film surface for the two different treatments. It is found that post-growth hydrothermal treatments of ECD ZnO films at 150 °C under an aqueous environment enhance their structural and optical properties (photoluminescence, transmission, Raman spectra, etc.) similar to thermal annealing in air at higher temperatures (> 200 °C). The modifications of the structural and optical properties of ZnO samples after thermal annealing in air in the temperature range of 150-600 °C are discussed. The removal of chlorine from the films by the hydrothermal treatment was evidenced which could be the main reason for the improvement of the film quality. The observation of the enhanced photoluminescence peak at 380 nm demonstrates the superior properties of the hydrothermally treated ZnO films as compared to the films annealed in air ambient at the same or higher temperature. This post-growth hydrothermal treatment would be useful for the realization of high performance optoelectronic devices on flexible supports which might not withstand at high temperature annealing treatments.  相似文献   

15.
ZnO thin films with ZnO buffer layers were grown by plasma-assisted molecular beam epitaxy (PA-MBE) on p-type Si(100) substrates. Before the growth of the ZnO thin films, the ZnO buffer layers were deposited on the Si substrates for 20 minutes and then annealed at the different substrate temperature ranging from 600 to 800 degrees C in oxygen plasma. The structural and optical properties of the ZnO thin films have been investigated by X-ray diffraction (XRD), scanning electron microscopy (SEM), and room-temperature (RT) photoluminescence (PL). A narrower full width at half maximum (FWHM) of the XRD spectra for ZnO(002) and a larger grain are observed in the samples with the thermal annealed buffer layers in oxygen plasma, compared to those of the as-grown sample. The surface morphology of the samples is changed from rugged to flat surface. In the PL spectra, near-band edge emission (NBEE) at 3.2 eV (380 nm) and deep-level emission (DLE) around 1.77 to 2.75 eV (700 to 450 nm) are observed. By increasing the annealing temperatures up to 800 degrees C, the PL intensity of the NBEE peak is higher than that of the as-grown sample. These results imply that the structural and optical properties of ZnO thin films are improved by the annealing process.  相似文献   

16.
In order to fabricate fiber-optic temperature sensors based on ZnO film, it is important to study the temperature-dependent optical properties of this material. In this work, we deposited ZnO films on c-plane (0001) sapphire substrate at 250 °C. Atomic force microscope and X-ray diffraction measurements show the smooth surface and high orientation along [0001] of ZnO film, respectively. The high-temperature-dependent optical properties of ZnO film were measured by ultraviolet-visible transmission with temperatures ranging from room-temperature to 300 °C and analyzed by theoretically fitting the optical absorption edge curve. It is observed that the band gap energy red shifts nonlinearly from 3.345 to 3.153 eV with increasing temperature. The sharp absorption edge of ZnO films after annealing at 300 °C is almost consistent with that of the as-deposited sample, indicating an excellent thermal stability and the potential application in fiber-optic temperature sensors.  相似文献   

17.
M. Ben Rabeh  B. Rezig 《Thin solid films》2007,515(15):5943-5948
Post-growth treatments in air atmosphere were performed on CuInS2 films prepared by the single-source thermal evaporation method. Their effect on the structural, optical and electrical properties of the films was studied by means of X-ray diffraction (XRD), scanning electron microscopy (SEM), optical reflection and transmission and resistance measurements. The films were annealed from 100 to 350 °C in air. The stability of the observed N-type conductivity after annealing depends strongly on the annealing temperature. Indeed it is shown that for annealing temperatures above 200 °C the N-type conductivity is stable. The resistance of the N-CuInS2 thin films correlates well with the corresponding annealing temperature. The samples after annealing have direct bandgap energies of 1.45-1.50 eV.  相似文献   

18.
ZnO films were deposited by metal-organic chemical vapor deposition on (0001) sapphire substrates at various partial pressure ratios of oxygen and zinc precursors (RVI/II). The annealing and the RVI/II ratio effects on the vibrational and optical properties of ZnO films have been investigated by Micro-Raman scattering and low temperature photoluminescence (PL) spectroscopy. As confirmed by characterizations used in this study, the quality of the ZnO films was improved by thermal annealing at 900 °C in oxygen ambient. Raman spectra of the as-deposited films show a broad band (BB) centered at about 518 cm−1 whose intensity increases when the RVI/II ratio decreases. After annealing, the intensity ratio of the BB to the E2 high (E2H) peak decreases rapidly with increasing the annealing time (tan). The vibrational properties of the annealed films grown at RVI/II = 1 need only 1 h to be improved in contrast to those of films grown in Zn-rich condition, which need 4 h. From the E2H mode frequency, the residual stress in both the as-grown and the annealed films has been estimated. Micro-Raman measurements show that as-grown films are under a compressive stress which vanishes upon annealing and is not strongly dependent on tan for tan up to 1 h. PL spectra show that sharp donor bound exciton and A-free exciton emissions are observed for the as-deposited films grown at RVI/II ≥ 0.5 and are enhanced after annealing for 1 h. However, in ZnO films grown in Zn-rich condition these emissions are absent and a tan = 4 h is needed to annihilate non-radiative recombination centers and improve their luminescent efficiency.  相似文献   

19.
Multilayer coatings consisting of thin silver layers sandwiched between layers of transparent conducting metal oxides are investigated from the view point of low-resistance electrodes for use in flat panel displays, solar cells, etc. ZnO/Ag/ZnO multilayer films were prepared on glass substrates by simultaneous RF magnetron sputtering of ZnO and dc magnetron sputtering of Ag. Optimization of the deposition conditions of both ZnO layers and metallic layers were performed for better electrical and optical properties. The structural, electrical and optical properties of the films (deposited at room temperature, different substrate temperature and annealed at different conditions) were characterized with various techniques. We could not produce high-quality transparent conductive electrodes simply by annealing at various temperatures. However, improved electrical properties and a considerable shift in the transmittance curves was observed after heat treatment. The experimental results show that the electrical resistivity of as-grown films can be decreased to 10− 5 Ω cm level with post-annealing at 400 °C for 2 h in vacuum atmosphere. After heat treatment, the sheet resistance was reduced as much as 20% which was due to the increased grain size of Ag film. The samples heat treated at 200-400 °C under vacuum or nitrogen atmosphere showed the best electrical properties. The key to the superior electrical and optical properties of the multilayer is the optimization of growth conditions of the silver layer by careful control of the oxide properties and the use of appropriate annealing temperature and atmosphere.  相似文献   

20.
Cu2O thin films were first deposited using magnetron sputtering at 200 °C. The samples produced were then annealed by a rapid thermal annealing (RTA) system at 550 °C in a protective atmosphere with or without the addition of oxygen. After annealing, various Cu2O and CuO films were formed. These films were characterized, as a function of oxygen concentration in RTA, using UV-VIS photometer, four-point probe, and Hall measurement system. The results show that these Cu2O thin films annealed at 550 °C with more than 1.2% oxygen added in the protective argon atmosphere would transform into the CuO phase. Apparently, the results of RTA are sensitive to the amount of oxygen added in the protective atmosphere. The resistivity of these Cu2O thin films decreases with the increase in the oxygen amount in the annealing atmosphere, most likely due to the increase in carrier mobility. In addition, Cu2O/ZnO (doped with AlSc) junctions were produced at 200 °C and annealed. The rectifying effect of P-N junction disappeared after annealing, probably due to the damage of p-n interface, which directly causes current leakage at the junction.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号