首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 140 毫秒
1.
基于PCI的IP仿真验证平台   总被引:1,自引:0,他引:1  
本文介绍了一种基于PCI环境的仿真验证平台。具体讲述了该仿真平台结构和实现原理,并以PDMA作为IP进行了仿真验证和FPGA验证。结果表明,该仿真平台能有效模仿SoC的环境,是解决IP仿真验证问题的有效方案;该平台大大缩短了IP开发时间,对开发软IP有重要意义。  相似文献   

2.
通过对图像编码的核心技术之一离散余弦变换算法的研究,实现了基于PCI总线的二维离散余弦(逆)变换芯核的设计。该设计采用查找表法和流水线技术来减少硬件开销和提高速度;通过改变1-DDCT/IDCT的算法结构来减少查找表占用内部存储器的空间。把设计的离散余弦(逆)变换芯核作为IP软核,在基于PCI环境的RTL仿真平台上进行功能仿真和综合,最后下载到FPGA中,在本单位研制的基于PCI总线的IP测试平台进行硬件验证。实验结果表明,该IP核在平台中工作的最高频率可以达到77MHz。  相似文献   

3.
研究40Gb/s交换IP软核的验证和测试方法。通过建立SDH芯片验证平台和SDH芯片测试平台,实现IP软核的功能仿真、时序仿真和芯片性能测试。使得IP软核质量优良、性能稳定,适应性强,达到了交换IP软核的设计要求。形成了具有自主知识产权的40Gb/s交换IP软核。  相似文献   

4.
PCI Express协议实现与验证   总被引:1,自引:1,他引:1  
张大为  梁宇琪  刘迪 《现代电子技术》2012,35(4):123-125,127
称为第3代I/O接口技术的PCI Express总线规范的出现,从结构上解决了带宽不足的问题,有着极为广阔的发展前景。基于Verilog HDL硬件描述语言及可综合化设计理念,完成了PCI Express IP核RTL代码的设计。IP核代码使用Verilog HDL语言编写,分模块、分层次地设计了事务层、数据链路层和物理层的逻辑子层,并进行了可综合化设计与代码风格检查。对设计的PCI Express IP核的功能分别从协议层次和应用层次进行了验证。具体实现上,采用Denali公司的PureSuite测试套件对IP核的协议兼容性进行验证,验证范围覆盖了IP核的3个层次以及配置空间,采用QuestaSim仿真工具对IP核的应用层进行验证。仿真结果表明,设计的PCI Express IP核工作正常,性能优良。  相似文献   

5.
张蓉  张鹏涛 《电子科技》2013,26(9):142-144
在研究了ARINC429总线协议、PCI局部总线、SOC与IP核等关键技术的基础上,设计并实现了基于PCI接口的多通道ARINC429总线接口卡,并以此建立了一个基于PCI接口的ARINC429航空总线地面实验平台。同时对系统平台进行了仿真测试,其结果符合设计要求。  相似文献   

6.
设计并实现了一种针对多聚焦图像融合算法的图像融合IP软核。在ISE环境下实现了图像融合IP软核的Verilog语言描述,之后进行了测试与评估。将融合结果与Matlab处理结果进行对比,验证了文中设计IP软核的准确性。该图像融合IP软核设计方法为其他图像融合算法的IP软核设计建立了基础。  相似文献   

7.
通用串行总线USB是当前主流的计算机外设接口的总线标准。设计实现USB各功能模块的IP核对于SoC领域发展具有重要的现实意义。本文介绍了主机控制器端的串行接口引擎IP的设计、电路的功能仿真、综合以及验证等过程,提出并讨论了基于中科SoC开发平台的软/硬件协同设计验证的IP设计方法。结果表明该IP在功能和时序上符合USB技术规范1.1版本。达到了预定目标。  相似文献   

8.
张皓  裴玉奎 《半导体技术》2017,42(3):223-228,240
星载设备长时间工作在空间环境中,宇宙中的带电粒子会造成器件功能异常,产生存储器软错误,严重时会损坏硬件电路.为模拟辐照环境对器件的影响,利用Xilinx公司的软错误缓解(SEM)控制器IP核,搭建了基于Xilinx Kintex-7的验证与测试平台,完成对SEM IP核的功能验证.为提高测试效率,设计了基于上述平台的自动注错方法.经过验证,该方法能够达到预期的帧地址覆盖率.实验结果表明,SEM IP核具备软错误注入与缓解功能,自动注错方法有利于此IP核的实际应用.  相似文献   

9.
本文讨论一个PCI总线主控制器IP核的设计与验证,描述了该IP核的控制通路和数据通路设计、电路的功能仿真、综合以及验证等过程。结果表明,该IP核在功能和时序上符合PCI技术规范2.2版本,达到了预定的目标。  相似文献   

10.
许莉  韦嵚  车书玲 《微电子学》2019,49(4):524-528
以集成电路的快速发展与广泛应用为契机,针对FPGA开发过程中IP软核可复用的特点,提出一种提升FPGA嵌入式块存储器工作频率的IP软核设计方法。利用软件对不同读写类型和不同输入位宽的数据进行预处理,获取所需的硬件资源开销,并生成相应的硬件描述语言。IP软核设计时,在使用固定硬件资源的情况下,通过优化数据预处理方法,以及改变在综合阶段布局布线的处理结果,提高了工作频率。对设计的IP软核进行测试验证,结果表明,该设计方法生成的IP软核的功能和性能指标均符合设计要求,其工作频率最高可提升25.56%。  相似文献   

11.
采用IP核的设计方法,将外设组件互连标准(PCI)总线接口与具体功能应用集成在一个FPGA上芯片,提高了系统的集成度。在对PCI IP核进行概述的基础上,介绍了IP核的设计方法,实现了PCI总线接口,并设计DMA控制器解决了接口和主机间的数据传输瓶颈问题,最后说明了驱动程序的设计方法。通过在PCI机箱的实验测试,设计在功能和时序上均符合PCI技术规范,而且硬件工作稳定可靠,达到预期目标。  相似文献   

12.
讨论使用FPGA来实现PCI IP Core的方法,介绍高速数据发送卡的功能和结构,通过实际工作描述使用IP Core来实现数据发送卡的逻辑控制设计,以及PCI WDM驱动程序的设计.  相似文献   

13.
沈括  逯建军  逢博 《电子测试》2011,(10):58-61
由于雷达信号处理的要求,需要对雷达数据进行高速大容量记录,本文介绍了基于PCI Express总线的雷达数据采集系统的实现,该系统以FPGA为控制核心,PCI Express核为基础,分析了PCI Express核的特点,设计了专用控制逻辑,最后给出了控制逻辑的仿真图。设计中采用双端口RAM作为缓存,利用FPGA实现数...  相似文献   

14.
基于PCI/PCIX总线实现宽带数据高速传输   总被引:1,自引:0,他引:1  
张峰  李燕斌 《电讯技术》2012,52(8):1317-1319
介绍了传统宽带数传的实现方式,分析了用FPGA实现PCI/PCIX总线的优点,实现了基于PCI/PCIX总线IP核的宽带高速数传,IP核在66 M/64 bit工作方式,以突发传输模式下,速度达到了420 Mbyte/s,满足了宽带数据高速传输的要求.  相似文献   

15.
采用了32位微控制器TSK3000A、通用Wishbone总线规范IP核和BT656视频标准等。在FPGA软核设计时,采用了基于Openbus总线的系统设计方式,利用NB2开发验证平台,在Xilinx公司的Spartan-3系列FPGA芯片上下载实现,并接入平台进行验证。该设计的系统可以将输入的模拟视频信号处理之后显示在TFT真彩LCD屏上,图像清晰,系统稳定,可移植性好。  相似文献   

16.
在全IP无线互联网包分多址(PDMA)系统中,移动用户可以通过简单IP或移动IP两种接入方式接入Internet。如何对以上两种接入方式的用户提供基于RADIUS协议的身份认证、授权及基于流量方式的计费功能,是PDMA系统面临的一个重要问题。文章提出了支持微移动和宏移动两层协议体系分别进行AAA机制处理,以及两层AAA机制间有效协调的设计方案,在某地试验网的实际运行中取得了良好的效果。  相似文献   

17.
利用FPGA实现时分多址的方法有很多种,但大多数方法都对FPGA芯片资源的占用非常巨大。针对这一问题,提出一种改进型方法来实现时分多址。通过使用FPGA芯片内部的双口随机访问存储器(双口RAM),利用同一块RAM采用两套时钟线,地址线和数据线,例化双口RAM的IP核后,在占用较少FPGA芯片资源的前提下,信号实现了时分多址格式的传输。通过对程序进行仿真和验证,证明了该算法的可用性,与传统方法相比,芯片资源的占用率明显降低。  相似文献   

18.
为增加系统稳定性,减小电路板面积,提出一种基于FPGA的异步串行口IP核设计。该设计使用VHDL硬件描述语言时接收和发送模块在XilinxISE环境下设计与仿真。最后在FPGA上嵌入UARTIP核实现电路的异步串行通信功能。该IP核具有模块化、兼容性和可配置性,可根据需要实现功能的升级、扩充和裁减。  相似文献   

19.
刘星  吕笛  卢再奇 《电子工程师》2008,34(11):13-16
随着FPGA(现场可编程门阵列)在规模和性能上得到显著增强,意味着FPGA能够代替DSP或者某些专用芯片,实现数字信号处理中某些运算密集型的算法,并且能够获得更高的性能。在分析数字正交检波技术和数字脉压技术的基础上,介绍了一种基于FPGA芯片的数字中频接收机设计方案,该接收机能够实现线性调频信号的数字下变频和数字脉压功能。该设计采用FPGAIP核来实现,另外,还介绍了主要IP核的特性,并提出了一些简化方法,用以节约FPGA内部资源提高效率。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号