首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The deposition of WSi2 on transistor gate in SiH4/WF6 ambient produces strong variations into the active oxide layer, introducing a significant fluorine concentration into the dielectric. This phenomenon is here shown to have different effects on p-well and n-well structures and to strongly depend on thermal budget. Different amorphization implants after deposition may also be implemented to avoid cracks formations but they will be shown to interact with fluorine into the dielectric and strongly impact reliability performances.  相似文献   

2.
Low-temperature Si barrier growth with atomically flat heterointerfaces was investigated in order to improve negative differential conductance (NDC) characteristics of high-Ge-fraction strained Si1−xGex/Si hole resonant tunneling diode with nanometer-order thick strained Si1−xGex and unstrained Si layers. Especially to suppress the roughness generation at heterointerfaces for higher Ge fraction, Si barriers were deposited using Si2H6 reaction at a lower temperature of 400 °C instead of SiH4 reaction at 500 °C after the Si0.42Ge0.58 growth. NDC characteristics show that difference between peak and valley currents is effectively enhanced at 11-295 K by using Si2H6 at 400 °C, compared with that using SiH4 at 500 °C. Non-thermal leakage current at lower temperatures below 100 K tends to increase with decrease of Si barrier thickness. Additionally, thermionic-emission dominant characteristics at higher temperatures above 100 K suggests a possibility that introduction of larger barrier height (i.e. larger band discontinuity) enhances the NDC at room temperature by suppression of thermionic-emission current.  相似文献   

3.
We report on high-k TixSi1−xO2 thin films prepared by RF magnetron co-sputtering using TiO2 and SiO2 targets at room temperature. The TixSi1−xO2 thin films exhibited an amorphous structure with nanocrystalline grains of 3-30 nm having no interfacial layers. The XPS analyses indicate that stoichiometric TiO2 phases in the TixSi1−xO2 films increased due to stronger Ti-O bond with increasing TiO2 RF powers. In addition, the electrical properties of the TixSi1−xO2 films became better with increasing TiO2 RF powers, from which the maximum value of the dielectric constant was estimated to be ∼30 for the samples with TiO2 RF powers of 200 and 250 W. The transmittance of the TixSi1−xO2 films was above 95% with optical bandgap energies of 4.1-4.2 eV. These results demonstrate a potential that the TixSi1−xO2 thin films were applied to a high-k gate dielectric in transparent thin film transistors as well as metal-oxide-semiconductor field-effect transistors.  相似文献   

4.
The high-quality PECVD silicon nitride has been deposited by high-density and low-ion-energy plasma at 400 °C and the effect of the process parameters, such as silane and nitrogen flow rate, pressure, on its structure and electrical properties has been investigated. The experimental results show that silane flow rate is the most sensitive parameter for determining deposition rate and N/Si atomic ratio of silicon nitride in the range of process parameters employed. The change of nitrogen flow rate leaded to slightly change in deposition rate, however, it effects significantly on the refractive index or densification of silicon nitride. With the addition of hydrogen gas in plasma, the hysteresis of C-V characteristics of MIS structure decreases from 0.4 to 0.1 V. The moderate increment of ion energy makes further reduction in the hysteresis of C-V characteristics of MIS from 0.1 V to below 0.05 V. The interface trap density of 6.2×1010 (ev−1 cm-2), deduced from the high frequency and quasistatic C-V characteristics of the MIS structure, is about the same as that of LPECVD silicon nitride deposited at the range of 750-850 °C. The stoichiometric silicon nitride of excellence electric and structural properties is obtained by Ar/N2/H2/SiH4 high-density and low ion energy plasma.  相似文献   

5.
This investigation elucidates various tungsten (W) nucleation layers in different W-plug fill processes. Four W-plug nucleation layers are evaluated. They involve an atomic layer deposition (ALD) W nucleation with SiH4-base sequential nucleation layers, an ALD W nucleation with B2H6-base sequential nucleation layers, a conventional W chemical vapor deposition (CVD) nucleation layer, and a pulsed nucleation layer, respectively. Bulk deposition includes high pressures of 300 Torr and conventionally 90 Torr with hydrogen as a reductant of WF6. A scanning electron microscopic analysis of the ALD W nucleation layer is conducted; it is a thin, smooth and dense film, which enhances the bulk deposition grain growth to increase grain size with low resistivity. Electrical results for ALD W processes are comparable to those for conventional W process in general barrier process condition. However, as the W-plug fills process on the weak and thin metal organic chemical vapor deposited (MOCVD) TiN barrier is varied, the ALD W processes retain their original electrical resistance performance. Unlike ALD W processes, the conventional W CVD suffers from serious contact resistance opening and tail bits. Transmission electron microscope profiles reveal that the thin and dense B2H6-base sequential nucleation layers prevent WF6 molecular penetration through the TiN/Tix interface. Additionally, various W-plug fill processes are implemented in the tungsten damascene test vehicle, and the ALD B2H6-base sequential nucleation layers and subsequently formed bulk deposition at 300 Torr have lower resistance than under other conditions. The contact profile obtained using the transmission electron microscope reveals that the ALD B2H6-base W-plug has favorable fill-in capability for both 100 nm and 60 nm contact sizes. Their lower resistivity and thinner nucleation layer suit them in particular to implement at a contact size of 100 nm and smaller. The ALD B2H6-base sequential nucleation layers and subsequently formed bulk deposition at 300 Torr can be used in the next generation of W-plug fill process.  相似文献   

6.
A ternary WNxCy system was deposited in a thermal ALD (atomic layer deposition) reactor from ASM at 300 °C in a process sequence using tungsten hexafluoride (WF6), triethyl borane (TEB) and ammonia (NH3) as precursors. The WCx layers were deposited by a novel ALD process at a process temperature of 250 °C. The WNx layers were deposited at 375 °C using bis(tert-butylimido)-bis-(dimethylamido)tungsten (tBuN)2(Me2N)2W (imido-amido) and NH3 as precursors. WNx grows faster on plasma enhanced chemical vapor deposition (PECVD) oxide than WCx does on chemical oxide. WNxCy grows better on PECVD oxide than on thermal oxide, which is opposite of what is seen for WNx. In the case of the ternary WNxCy system, the scalability towards thinner layers and galvanic corrosion behavior are disadvantages for the incorporation of the layer into Cu interconnects. ALD WCx based barriers have a low resistivity, but galvanic corrosion in a model slurry solution of 15% peroxide (H2O2) is a potential problem. Higher resistivity values are determined for the binary WNx layers. WNx shows a constant composition and density throughout the layer.  相似文献   

7.
《激光杂志》1999,20(5):2
Using the technique of optical emission spectroscopy,time-dependence of fragment in SiH4 laser plasma is measured.After analyzing the evolution and reaction progress of fragments,we proposed that si.H and si+ were the first reaction products,but Si2 SiH and Si2+ the second ones.This conclusion is further verified by the experimental results of Si390.6nm and SiH412.8nm emission lines in various conditions.  相似文献   

8.
The use of disilane (Si2H6) as a silicon source for epitaxial deposition was investigated for both very low pressure chemical vapor deposition (thermal CVD) and plasma enhanced chemical vapor deposition (PECVD) from 600 to 800° C. The growth rates observed for temperatures at or below 750° C were at least an order of magnitude higher than those observed for silane (SiH4) using similar deposition conditions. An argon plasma was used to sputter clean the silicon surface, in-situ, immediately before the deposition. It was found that a low dc bias on the substrate during the argon sputter cleaning process helped remove carbon and oxide from the surface of the silicon substrate. A 16 min Ar sputter clean at 650° C, 2.5 W rf power, and •50 V dc bias resulted in a carbon and oxygen concentration at the epilayer-substrate of less than 4 × 1018/cm3 and 2 × 1018/cm3, respectively. In situ arsenic doping during disilane epitaxial growth was carried out by thermal CVD and PECVD using arsine (AsH3) diluted in silane (SiH4) at 800° C. The results were compared to similar experiments using only SiH4 as the silicon source. Up to 500 ppm of arsine was diluted in the reactant gas and it was found that the Si2H6 growth rates were insensitive to the arsine concentraton in the gas phase.  相似文献   

9.
Parallel angle resolved X-ray photoelectron spectroscopy (ARXPS) was used to study the oxidation of W and WSix thin films CVD-deposited on 12 in. silicon wafers. The thin films were exposed to air during defined periods of time. Immediately after layer deposition, the wafers were rapidly loaded in a vacuum carrier in order to measure various aspects of the oxidation kinetic by XPS. Angle resolved data were exploited to obtain accurate tungsten oxide thicknesses measurements and the results were compared with X-ray reflectometry (XRR). A precise in-depth evolution of the W oxidation kinetic, in term of oxidation velocity and bonding environment, was obtained. Non-destructive profiles of the first five nanometers of a WSix surface were extracted from Angle Resolved data. These profiles were compared to ToF-SIMS analysis, and we clearly show the presence of a stoichiometric silicon dioxide passive layer covering WSix silicide.  相似文献   

10.
Strained-layer SiGex-on-Si heteroepitaxy has been achieved by photolytic decomposition of disilane (Si2H6) and digermane (G e2H6) in an ultra high vacuum (UHV) chamber at substrate temperatures as low as 275°C. An ArF excimer laser (193 nm) shining parallel to the Si substrate was used as the UV light source to avoid surface damage and substrate heating. The partial pressures of the source gases in the reactor were chosen to vary the Ge mole fraction x from 0.06 to 0.5 in the alloy. The Si2H6 partial pressure was kept at 10 mTorr and the Ge2H6 partial pressure was varied from 0.13 to 2 mTorr with the laser intensity fixed at 2.75 × 1015 photons/cm2·pulse. To fit the Si1−xGex growth rate and Ge mole fraction data, the absorption cross section of Ge2H6 at 193 nm was set to 1 × 10−16 cm2, which is 30 times larger than that of Si2H6 (3.4 × 10−18 cm2). For Si1−xGex alloy growth, the deposition rate of Si increases with Ge mole fraction, resulting in increased Si1−xGex alloy growth rates for higher Ge content. The increase of the Si growth rate was attributed to the enhanced adsorption rate of Si2H6 pyrolytically in the presence of Ge, rather than due to photolytic decomposition reaction. The Ge mole fraction in Si1−xGex alloys can be predicted by a new model for Si and Ge pyrolytic and photolytic growth. The model describes the increased growth rate of Si1−xGex alloys due to a Ge2H6 catalytic effect during photo-enhanced chemical vapor deposition.  相似文献   

11.
In-situ doped polycrystalline SixGe1-x (x = 0.7) alloys were deposited by rapid thermal chemical vapor deposition (RTCVD) using the reactive gases SiH2Cl2, GeH4 and B2H6 in a H2 carrier gas. The depositions were performed at a total pressure of 4.0 Torr and at temperatures 600° C, 650° C and 700° C and different B2H6 flow rates. The conditions were chosen to achieve high doping levels in the deposited films. Our results indicate negligible effect of B2H6 flow on the deposition rate. The depositions follow an Arrhenius type behavior with an activation energy of 25 kcal/mole. Boron incorporation in the films was found to follow a simple kinetic model with higher boron levels at lower deposition rates and higher B2H6 flow rates. As-deposited resistivities as low as 2 mΩ-cm were obtained. Rapid thermal annealing (RTA) in the temperature range 800-1000° C was found to reduce the resistivity only marginally due to the high levels of boron activation achieved during the deposition process. The results indicate that polycrystalline SixGe1-x films can be deposited by RTCVD with resistivities comparable to those reported for in-situ doped polysilicon.  相似文献   

12.
Si1−xGex nanocrystals (NCs), embedded in Al2O3 matrix, were fabricated on Si (100) substrates by RF-magnetron sputtering technique with following annealing procedure at 800 °C, in nitrogen atmosphere. The presence of Si1−xGex NCs was confirmed by grazing incidence X-ray diffraction (GIXRD), grazing incidence small angle X-ray scattering (GISAXS) and Raman spectroscopy. The influence of the growth conditions on the structural properties and composition of Si1−xGex NCs inside the alumina matrix was analyzed. Optimal conditions to grow Si1−xGex (x∼ 0.8) NCs sized between 3 and 4 nm in Al2O3 matrix were established.  相似文献   

13.
Hafnium oxide (HfO2) films were deposited on Si substrates with a pre-grown oxide layer using hafnium chloride (HfCl4) source by surface sol-gel process, then ultrathin (HfO2)x(SiO2)1−x films were fabricated due to the reaction of SiO2 layer with HfO2 under the appropriate reaction-anneal treatment. The observation of high-resolution transmission electron microscopy indicates that the ultrathin films show amorphous nature. X-ray photoelectron spectroscopy analyses reveal that surface sol-gel derived ultrathin films are Hf-Si-O alloy instead of HfO2 and pre-grown SiO2 layer, and the composition was Hf0.52Si0.48O2 under 500 °C reaction-anneal. The lowest equivalent oxide thickness (EOT) value of 0.9 nm of film annealed at 500 °C has been obtained with small flatband voltage of −0.31 V. The experimental results indicate that a simple and feasible solution route to fabricate (HfO2)x(SiO2)1−x composite films has been developed by means of combination of surface sol-gel and reaction-anneal treatment.  相似文献   

14.
The role of N2 on GaAs etching at 150 mTorr capacitively-coupled Cl2/N2 plasma is reported. A catalytic effect of N2 was found at 20-25% N2 composition in the Cl2/N2 discharges. The peak intensities of the Cl2/N2 plasma were monitored with optical emission spectroscopy (OES). Both atomic Cl (725.66 nm) and atomic N (367.05 nm) were detected during the Cl2/N2 plasma etching. With the etch rate and OES results, we developed a simple model in order to explain the etch mechanism of GaAs in the high pressure capacitively-coupled Cl2/N2 plasma as a function of N2 ratio. If the plasma chemistry condition became positive ion-deficient at low % N2 or reactive chlorine-deficient at high % N2 in the Cl2/N2 plasma, the GaAs etch rate is reduced. However, if the plasma had a more balanced ratio of Cl2/N2 (i.e. 20-25% N2) in the plasma, much higher etch rates (up to 150 nm/min) than that in pure Cl2 (50 nm/min) were produced due to synergetic effect of neutral chlorine adsorption and reaction, and positive ion bombardment. Pure Cl2 etching produced 14 nm of RMS surface roughness of GaAs. Introduction of ?20% N2 gas in Cl2/N2 discharges significantly reduced the surface roughness to 2-4 nm. SEM photos showed that the morphology of photoresist mask was strongly degraded. Etch rate of GaAs slightly increased from 10 to 40 nm/min when RIE chuck power changed from 10 to 150 W at 12 sccm Cl2/8 sccm N2 plasma condition. The surface roughness of GaAs etched at 12 sccm Cl2/8 sccm N2 plasma was 2-3 nm.  相似文献   

15.
The process window for the infinite etch selectivity of silicon nitride (Si3N4) layers to ArF photoresist (PR) and ArF PR deformation were investigated in a CH2F2/H2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the low frequency power (PLF), CH2F2 flow rate, and H2 flow rate. It was found that infinitely high etch selectivities of the Si3N4 layers to the the ArF PR on both the blanket and patterned wafers could be obtained for certain gas flow conditions. The H2 and CH2F2 flow rates were found to play a critical role in determining the process window for infinite Si3N4/ArF PR etch selectivity, due to the change in the degree of polymerization. The preferential chemical reaction of hydrogen with the carbon in the hydrofluorocarbon (CHxFy) layer and the nitrogen on the Si3N4 surface, leading to the formation of HCN etch by-products, results in a thinner steady-state hydrofluorocarbon layer and, in turn, in continuous Si3N4 etching, due to enhanced SiF4 formation, while the hydrofluorocarbon layer is deposited on the ArF photoresist surface.  相似文献   

16.
(Pb1 − xLax)Ti1 − x/4O3(x = 28 mol%, denoted as PLT) thin films were grown on Pt/Ti/SiO2/Si substrates by using a sol-gel process. The Pt/PLT/Pt film capacitor showed well-saturated hysteresis loops at an applied electric field of 500 kV/cm with spontaneous polarization (Ps), remanent polarization (Pr) and coercive electric field (Ec) values of 9.23 μC/cm2, 0.53 μC/cm2 and 19.7 kV/cm, respectively. At 100 kHz, the dielectric constant and dissipation factor of the film were 748 and 0.026, respectively. The leakage current density is lower than 1.0 × 10−7 A/cm2over the electric field range of 0 to 200 kV/cm. And the Pt/PLT interface exist a Schottky emission characteristics.  相似文献   

17.
We present a numerical gas phase reaction model for hydrogenated microcrystalline silicon(μc-Si:H) films from SiH4 and H2 gas mixtures with plasma enhanced chemical vapor deposition(PECVD).Under the typical μc-Si:H deposition conditions,the concentrations of the species in the plasma are calculated and the effects of silane fraction(SF=[SiH4]/[H2+SiH4]) are investigated.The results show that SiH3 is the key precursor for μc-Si:H films growth,and other neutral radicals,such as Si2H5,Si2H4 and SiH2,may play some roles in the film deposition.With the silane fraction increasing,the precursor concentration increases,but H atom concentration decreases rapidly,which results in the lower H/SiH3 ratio.  相似文献   

18.
The structural and electrical properties of SrTa2O6(SrTaO)/n-In0.53GaAs0.47(InGaAs)/InP structures where the SrTaO was grown by atomic vapor deposition, were investigated. Transmission electron microscopy revealed a uniform, amorphous SrTaO film having an atomically flat interface with the InGaAs substrate with a SrTaO film thickness of 11.2 nm. The amorphous SrTaO films (11.2 nm) exhibit a dielectric constant of ∼20, and a breakdown field of >8 MV/cm. A capacitance equivalent thickness of ∼1 nm is obtained for a SrTaO thickness of 3.4 nm, demonstrating the scaling potential of the SrTaO/InGaAs MOS system. Thinner SrTaO films (3.4 nm) exhibited increased non-uniformity in thickness. From the capacitance-voltage response of the SrTaO (3.4 nm)/n-InGaAs/InP structure, prior to any post deposition annealing, a peak interface state density of ∼2.3 × 1013 cm−2 eV−1 is obtained located at ∼0.28 eV (±0.05 eV) above the valence band energy (Ev) and the integrated interface state density in range Ev + 0.2 to Ev + 0.7 eV is 6.8 × 1012 cm−2. The peak energy position (0.28 ± 0.05 eV) and the energy distribution of the interface states are similar to other high-k layers on InGaAs, such as Al2O3 and LaAlO3, providing further evidence that the interface defects in the high-k/InGaAs system are intrinsic defects related to the InGaAs surface.  相似文献   

19.
HfO2 dielectric films with a blocking layer (BL) of Al2O3 on Si0.8Ge0.2 were treated with rapid thermal annealing process. The effect of BL on thermal stability and electrical properties was reported. X-ray photoelectron spectroscopy suggested that BL could suppress the further growth of the interfacial layer composed of SiOx and GeOx, and lead to the decomposition of GeOx and the saturation of O vacancy in SiOx structure. High-resolution transmission electron microscopy indicated that BL would keep HfO2 amorphous after annealed treatment. Electrical measurements indicated that there was no stretch-out in capacitance-voltage curves, the accumulation region was flat, and leakage current was reduced for the sample with BL.  相似文献   

20.
In this paper, we investigate the effect of water (H2O) molecules evolving from silicon dioxide (SiO2) film deposited by low pressure chemical vapor deposition (LPCVD) at 670 °C on the transistor characteristic of an electrically erasable programmable read only memory (EEPROM) cell. Fourier Transform Infra red (FT-IR) analysis reveals that H2O is captured during film deposition and diffused to silicon surface during high thermal processing. The diffused H2O molecules lower threshold voltage (Vt) of cell transistor and, thus, leakage current of the cell transistor is increased. In erased cell, Vt lowering is 0.25 V in which it increases leakage current of cell transistor from 1 to 100 pA. This results in the lowering of high voltage margin of a 512 Kb EEPROM from 2.8 to 2.6 V at 85 °C.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号