首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 250 毫秒
1.
为了提高FeCoSiB薄膜和FeCoSiB/Cu/FeCoSiB多层膜的磁弹性能,利用磁控溅射方法在玻璃基片上沉积制备薄膜样品,并在真空中退火。测试了不同温度退火后,薄膜样品的应力阻抗效应。结果表明,退火处理条件对薄膜的应力阻抗效应有较大的影响。在6.4kA·m–1磁场下,薄膜经300℃、40min退火处理后,单层FeCoSiB和多层FeCoSiB/Cu/FeCoSiB的应力阻抗效应分别为1.86%和8.30%。  相似文献   

2.
用反应磁控溅射方法在SiO2/Si(100)衬底和Cu薄膜间溅射一层TaN阻挡层,测试不同N气分压及热处理温度下Cu/TaN/SiO2/Si薄膜的显微结构和电阻特性.同时利用微细加工技术加工了镂空的Cu互连叉指测试结构,研究了TaN薄膜在镂空的铜互连结构中的扩散阻挡性能.结果发现,在退火温度不超过400 ℃时,薄膜电阻率均低于80μΩ·cm,而当溅射N分压超过10%,退火温度超过400℃时,薄膜电阻率很快上升.低N气分压下(≤10%)溅射时,即使退火温度达到600 ℃,薄膜电阻基本不变.  相似文献   

3.
Cr/Cu/Al/Cr薄膜电极的防氧化性能   总被引:1,自引:1,他引:0  
采用Al作为Cu导电层的主要防氧化保护层,在普通浮法玻璃上利用磁控溅射和湿法刻蚀技术制备Cr/Cu/Al/Cr复合薄膜及其电极,研究不同的热处理温度对复合薄膜及其电极的结构、表面形貌和导电性能的影响。由于有Al层作为保护层,在热处理过程中,Al先与穿过Cr保护层的氧进行反应,从而可以更有效地保护Cu膜层在较高的温度下不被氧化,所制备的薄膜在经过600℃的热处理之后仍然具有较好的导电性能。而对于Cr/Cu/Al/Cr电极,侧面裸露的金属层在热处理过程中的氧化是其导电性能逐渐下降的主要原因,退火温度超过500℃之后,电极侧面裸露部分的氧化范围不断往电极的中间扩散,导致了薄膜电极导电性能显著恶化。虽然如此,Cr/Cu/Al/Cr薄膜电极在430℃附近仍然具有较好的导电性能,电阻率为7.3×10-8Ω.m,符合FED薄膜电极的要求。以此薄膜电极构建FED显示屏,通过发光亮度均匀性的测试验证了Cr/Cu/Al/Cr电极的抗氧化性。  相似文献   

4.
采用磁控溅射法在单晶硅片基底上制备多个Cu薄膜和CuNi薄膜,对其进行退火处理,分析不同退火温度对薄膜电阻率的影响。结果显示,随着退火温度的升高,两种薄膜的电阻率逐渐减小,且Cu薄膜的电阻率变化较CuNi薄膜更为明显。在镀有SiO2绝缘膜的硅片基底上制备T型(Cu/CuNi)薄膜热电偶,并进行退火处理,对退火前后的热电偶进行静态标定。实验数据表明,T型薄膜热电偶经过退火处理后,灵敏度由退火前38.35μV/℃增大到44.10μV/℃。  相似文献   

5.
利用Mass软件设计了TiO2/SiO2纳米多层光学增透膜膜系,并采用高真空电子束蒸发系统在不同O2分压条件下制备了TiO2/SiO2纳米多层膜,TiO2/SiO2薄膜多层膜体系在沉积条件下获得了很好的宽光谱光学透射性能,在可见光谱范围内透射率接近设计值,平均透射率达到90%以上。通过一系列测试方法对多层膜退火前后的透射率、组分结构和退火以后的残余应力以及表面形貌进行了研究。实验结果表明:在较高O2分压条件下,由于多层膜结构中O空位的减少,使得多层膜透射率逐渐增加。在退火条件下,随着退火温度的增加,导致了表面均方根粗糙度(RMS)的增加以及晶粒的聚集长大,500℃时多层膜组分结晶化明显加强,使得缺陷增多;同时受退火温度的影响,残余应力逐渐增加,组分相互扩散加剧使得多层膜界面受到破坏。这些因素最终导致TiO2/SiO2多层膜的透射率逐渐降低。  相似文献   

6.
采用Au和Sn单质金属靶,通过直流磁控溅射法制备调制型Au/Sn薄膜(薄膜层数为3~21),经快速退火后,实现单质多层薄膜的合金化.主要研究了Au/Sn薄膜微观形貌和合金化工艺控制.结果 表明,当固定薄膜总厚度为2 μm时,320℃下退火10 min后,膜层表面粗糙度与薄膜层数呈反比.薄膜层数较少(n=3)、调制周期厚...  相似文献   

7.
为开发大尺寸场发射显示器需要的能承受高温热处理的薄膜电极,以Al作为Ag层的保护层和与玻璃衬底的粘附层,采用直流磁控溅射制备了Al/Ag/Al复合薄膜及其电极.采用XRD、AFM、光学显微镜和电性能测试系统,研究不同温度热处理对复合薄膜和电极结构、表面形貌和电性能的影响.由于表面致密的Al2O3膜的保护,使得加热退火(<600℃)不会对Al/Ag/Al薄膜和电极造成明显的氧化,然而Al层与Ag层发生的界面扩散和固相反应增大了电极的电阻率(从5.0×10~(-8) Ω·m 上升至23.6×10~(-8) Ω·m).另外热处理温度足够高时(500℃、600℃),Ag原子向表面的扩散一定程度上降低了电极的化学稳定性.尽管如此,与Cr/Cu/Cr薄膜电极相比Al/Ag/Al薄膜电极仍然是一种能够承受高温热处理并且保持较低电阻率的新型电极.  相似文献   

8.
p-GaN/Au欧姆接触的研究   总被引:1,自引:1,他引:0  
本文对p-GaN/Au的接触电阻率进行了研究.用沸腾的王水处理p-GaN表面后,p-GaN/Au可直接形成电阻率为0.045Ω·cm~2的欧姆接触.接触电阻率测试和I-V特性曲线测试表明,在N_2气氛围中退火可影响p-GaN/Au接触电阻率的大小.在700℃温度下退火5min后,接触电阻率最小,其值为0.034Ω·cm~2,而在900℃温度下退火5min后,I-V特性曲线是非线性的。分析表明,在700℃温度下退火后,p-GaN/Au的界面间的反应使接触面增大,而在900℃温度下退火后,p-GaN表面的N会扩散到Au层里在p-GaN表面层产生N空位,这是p-GaN/Au接触电阻率变化的主要原因.  相似文献   

9.
采用磁控反应共溅射方法制备了纳米Ta-Al-N薄膜,并原位制备了Cu/Ta-Al-N薄膜,对薄膜进行了热处理。用四探针测试仪、X射线衍射仪(XRD)、扫描电镜(SEM)、原子力显微镜(AFM)以及台阶仪等研究了退火对薄膜结构及阻挡性能的影响。结果表明,Ta-Al-N薄膜具有优良的热稳定性,保持非晶态且能对Cu有效阻挡的温度可达800°C;同时发现在900°C退火5 min后,薄膜开始晶化,在Cu/Ta-Al-N/Si界面处生成了Cu3Si等相,表明此时Ta-Al-N薄膜阻挡层开始失效。  相似文献   

10.
利用间歇通O2的方式,采用射频磁控溅射法在Si3N4衬底上制备V2O5/V/V2O5复合薄膜,研究了不同原位退火条件对薄膜阻值及电阻温度系数(TCR)的影响。结果表明,经过退火处理后的V2O5/V/V2O5复合薄膜方阻值大大降低,电阻-温度曲线呈现良好的线性特性,并具有高TCR值及优良的电学稳定性。利用X射线光电子能谱(XPS)对退火后的V2O5/V/V2O5复合薄膜表面进行V、O元素分析,结果表明,V2O5/V/V2O5复合薄膜各层间的扩散效果显著影响薄膜表面不同价态V离子的含量,低价V离子会随着退火温度的升高及退火时间的延长而增多,薄膜表面对水分子的吸附也随之变强。在实验结果的基础上,利用扩散理论阐述了退火条件对V2O5/V/V2O5复合薄膜电学性能影响的机理。  相似文献   

11.
In this work, an electroless CoWP film deposited on a silicon substrate as a diffusion barrier for electroless Cu and silicon has been studied. Four different Cu 120 nm/CoWP/Si stacked samples with 30, 60, 75, and 100 nm electroless CoWP films were prepared and annealed in a rapid thermal annealing (RTA) furnace at 300°C to 800°C for 5 min. The failure behavior of the electroless CoWP film in the Cu/CoWP/Si sample and the effect of CoWP film thickness on the diffusion barrier properties have been investigated by transmission electron microscopy (TEM), scanning electron microscopy (SEM), X-ray diffraction (XRD), and sheet resistance measurements. The composition of the electroless CoWP films was 89.4 at.% Co, 2.4 at.% W, and 8.2 at.% P, as determined by energy dispersive X-ray spectrometer (EDS). A 30 nm electroless CoWP film can prevent copper penetration up to 500°C, and a 75 nm electroless CoWP film can survive at least up to 600°C. Therefore, increasing the thickness of electroless CoWP films effectively increases the failure temperature of the Cu/CoWP/Si samples. The observations of SEM and TEM show that interdiffusion of the copper and cobalt causes the failure of the electroless CoWP diffusion barriers in Cu/CoWP/Si during thermal annealing.  相似文献   

12.
The properties of Ta barrier films treated with various plasma nitridations have been investigated by Cu/barrier/Si. An amorphous layer is formed on Ta barrier film after plasma treatments. The thickness of the amorphous layer is about 3 nm. Plasma treated Ta films possess better barrier performance than sputtered Ta and TaN films. It is attributed to the formation of a new amorphous layer on Ta surface after the plasma treatment. Cu/Ta(N,H)/Ta (10 nm)/Si remained stable after annealing at 750 °C. Ta(N,H)/Ta possesses the best thermal stability and excellent electrical properties. Cu/Ta/n+-p and Cu/Ta(N,O)/Ta/n+-p diodes resulted in large reverse-bias junction leakage current after annealing at 500 °C and 600 °C, respectively. On the other hand, Ta(N,H)/Ta and Ta(N)/Ta diffusion barriers improve the thermal stability of junction diodes to 650 °C. Ta(N,H)/Ta barrier film possesses lowest resistivity among Ta, Ta(N,O)/Ta, and Ta(N)/Ta films. Hydrogen plays an important role in enhancement of barrier properties. It is believed that hydrogen not only induces amorphization on Ta, but also eliminates the oxygen in the film. It is believed that the enhancement of ability against the copper diffusion is due to the combined effects of the hydrogen reaction and nitridation.  相似文献   

13.
Zr–N/Zr bilayered film as a diffusion barrier between Cu and Si is evaluated. The thermal stability of the diffusion barrier is investigated by annealing the Cu/Zr–N/Zr/Si samples in N2 for an hour. XRD, SEM and AES results for the above contact systems after annealing at 700 °C show that Cu film has preferential (1 1 1) crystal orientation and no diffraction peaks of Cu3Si and a Cu–Zr–Si ternary compound are observed for all Cu/Zr–N/Zr/Si contact systems. In addition, the atomic distribution of Zr and Si is evident and grows with increasing temperature up to 700 °C, which corresponds to the Zr–Si phase having low contact resistivity. Low contact resistivity and high thermal stability diffusion barrier can be expected by the application of the Zr–N/Zr bilayered film as a diffusion barrier between Cu and Si.  相似文献   

14.
The thermal performance of sputtered Cu films with dilute insoluble W (1.3 at.%) on barrierless Si substrates has been studied, using the analyses of focused ion beam, x-ray diffraction, and electrical resistivity measurement. The role of the Cu(W) film as a seed layer has been confirmed based on the thermal performance evaluations in both thermal cycling and isothermal annealing at various temperatures. The electrical resistivity of ∼1.8 μΩ-cm for Cu/Cu(W) film is obtained after thermal annealing at 400°C. Because of the good thermal stability, the Cu(W) seed layer is also considered to act as a diffusion buffer and is stable up to 490°C for the barrierless Si scheme. The results indicate that the Cu/Cu(W) scheme has potential in advanced barrierless metallization applications.  相似文献   

15.
研究了在 Co/Ti/Si结构中加入非晶 Ge Si层对 Co Si2 /Si异质固相外延的影响 ,用离子束溅射方法在Si衬底上制备 Co/Ge Si/Ti/Si结构多层薄膜 ,通过快速热退火使多层薄膜发生固相反应。采用四探针电阻仪、AES、XRD、RBS等方法进行测试。实验表明 ,利用 Co/Ge Si/Ti/Si固相反应形成的 Co Si2 薄膜具有良好的外延特性和电学特性 ,Ti中间层和非晶 Ge Si中间层具有促进和改善 Co Si2 外延质量 ,减少衬底耗硅量的作用。Ge原子的存在能够改善外延 Co Si2 薄膜的晶格失配率  相似文献   

16.
The barrier properties and failure mechanism of sputtered Hf, HfN and multilayered HfN/HfN thin films were studied for the application as a Cu diffusion barrier in metallization schemes. The barrier capability and thermal stability of Hf, HfN and HfN/HfN films were determined using X-ray diffraction (XRD), leakage current density, sheet resistance (Rs) and cross-sectional transmission electron microscopy (XTEM). The thin multi-amorphous-like HfN thin film (10 nm) possesses the best barrier capability than Hf (50 nm) and amorphous-like HfN (50 nm). Nitrogen incorporated Hf films possess better barrier performance than sputtered Hf films. The Cu/Hf/n+–p junction diodes with the Hf barrier of 50 nm thickness were able to sustain a 30-min thermal annealing at temperature up to 500 °C. Copper silicide forms after annealing. The Hf barrier fails due to the reaction of Cu and the Hf barrier, in which Cu atoms penetrate into the Si substrate after annealing at high temperature. The thermal stabilities of Cu/Hf/n+–p junction diodes are enhanced by nitrogen incorporation. Nitrogen incorporated Hf (HfN, 50 nm) diffusion barriers retained the integrity of junction diodes up to 550 °C with lower leakage current densities. Multilayered amorphous-like HfN (10 nm) barriers also retained the integrity of junction diodes up to 550 °C even if the thickness is thin. No copper–hafnium and copper silicide compounds are found. Nitrogen incorporated hafnium diffusion barrier can suppress the formation of copper–hafnium compounds and copper penetration, and thus improve the thermal stability of barrier layer. Diffusion resistance of nitrogen-incorporated Hf barrier is more effective. In all characterization techniques, nitrogen in the film, inducing the microstructure variation appears to play an important role in thermal stability and resistance against Cu diffusion. Amorphousization effects of nitrogen variation are believed to be capable of lengthening grain structures to alleviate Cu diffusion effectively. In addition, a thin multilayered amorphous-like HfN film not only has lengthening grain structures to alleviate Cu diffusion, but block and discontinue fast diffusion paths as well. Hence, a thin multilayered amorphous-like HfN/HfN barrier shows the excellent barrier property to suppress the formation of high resistance η′-(Cu,Si) compound phase to 700 °C.  相似文献   

17.
The effect of annealing in an O2 ambient on Cu(Mg)/SiO2/Si multilayer films was investigated. As-deposited Cu(Mg)/SiO2/Si multilayer samples with film thicknesses in the 1,000–3,000 ? range were annealed for 30 min in oxygen ambients at pressures ranging from vacuum to 100 mtorr. The results showed that annealing in an 8-mtorr O2 ambient significantly decreased the electrical resistivity of a 1,000 ? sample from 10.5 μΩ-cm to 3.7 μΩ-cm. Annealing in the O2 ambient enhanced Mg diffusion to the surface in comparison to vacuum annealing. Furthermore, O2 ambient annealing leads to excessive grain growth. However, the effect of O2 ambient annealing on resistivity is less when the thickness of the film increases.  相似文献   

18.
Formation of Ti diffusion barrier layers in Thin Cu(Ti) alloy films   总被引:1,自引:0,他引:1  
In order to study a formation mechanism of thin Ti-rich layers formed on the surfaces of Cu(Ti) wires after annealing at elevated temperatures, the 300-nm-thick Cu(Ti) alloy films with Ti concentration of 1.3 at.% or 2.9 at.% were prepared on the SiO2/Si substrates by a co-sputter deposition technique. The electrical resistivity and microstructural analysis of these alloy films were carried out before and after annealing at 400°C. The Ti-rich layers with thickness of ∼15 nm were observed to form uniformly both at the film surface and the substrate interfaces in the Cu(2.9at.%Ti) films after annealing (which we call the self-formation of the layers) using Rutherford backscattering spectrometry (RBS) and transmission electron microscopy (TEM). Both the resistivities and the microstructures of these Cu(Ti) films were found to depend strongly on the Ti concentrations. The resistivities of the films decreased upon annealing due to segregation of the supersaturated Ti solutes in the alloy films to both the top and bottom of the films. These Ti layers had excellent thermal stability and would be applicable to the self-formed diffusion barrier in Cu interconnects of highly integrated devices. The selection rules of the alloy elements for the barrier self-formation were proposed based on the present results.  相似文献   

19.
Lu  Y.  Xiao  Y. X.  Dai  T.  Wang  C. P.  Yang  S. Y.  Liu  X. J. 《Journal of Electronic Materials》2020,49(7):4231-4236

The V-Ta, V-Ta-N and V-Ta/V-Ta-N alloy barrier layers with thickness of 50 nm were deposited on Si (100) substrates by magnetron sputtering and then the 300 nm thick Cu films were prepared on the barrier layers to obtain Cu/V-Ta/Si, Cu/V-Ta-N/Si and Cu/V-Ta/V-Ta-N/Si multilayer films. The multilayer film samples were subsequently annealed at 300°C–750°C temperatures for 1 h in vacuum atmosphere. The crystal structure, surface morphology and sheet resistance were characterized by grazing incidence x-ray diffraction (GXRD), electron probe microanalysis (EPMA), scanning electron microscopy (SEM) and four-point probe (FPP) analysis to investigate the diffusion barrier behavior of the V-Ta, V-Ta-N and V-Ta/V-Ta-N alloy barrier layers. The results show that the V-Ta, V-Ta-N and V-Ta/V-Ta-N barrier layers effectively blocked the diffusion of Cu into the Si substrate. When annealed at 700°C, the Cu/V-Ta/Si and Cu/V-Ta/V-Ta-N/Si thin film samples maintained good thermal stability and demonstrated low sheet resistance (~?0.3 Ω cm). Therefore, both the V-Ta and V-Ta/V-Ta-N thin films are promising candidates for use as diffusion barrier layers.

  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号