首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
We demonstrate wide-area fabrication of sub-40?nm diameter, 1.5?μm tall, high aspect ratio silicon pillar arrays with straight sidewalls by combining nanoimprint lithography (NIL) and deep reactive ion etching (DRIE). Imprint molds were used to pre-pattern nanopillar positions precisely on a 200?nm square lattice with long range order. The conventional DRIE etching process was modified and optimized with reduced cycle times and gas flows to achieve vertical sidewalls; with such techniques the pillar sidewall roughness can be reduced below 8?nm (peak-to-peak). In some cases, sub-50?nm diameter pillars, 3?μm tall, were fabricated to achieve aspect ratios greater than 60:1.  相似文献   

2.
We report fabrication and characterization of nanochannel devices with two nanopores in series for resistive-pulse sensing of hepatitis B virus (HBV) capsids. The nanochannel and two pores are patterned by electron beam lithography between two microchannels and etched by reactive ion etching. The two nanopores are 50-nm wide, 50-nm deep, and 40-nm long and are spaced 2.0-μm apart. The nanochannel that brackets the two pores is 20× wider (1 μm) to reduce the electrical resistance adjacent to the two pores and to ensure the current returns to its baseline value between resistive-pulse events. Average pulse amplitudes differ by <2% between the two pores and demonstrate that the fabrication technique is able to produce pores with nearly identical geometries. Because the two nanopores in series sense single particles at two discrete locations, particle properties, e.g., electrophoretic mobility, are determined from the pore-to-pore transit time.  相似文献   

3.
We have studied the fabrication of high-aspect ratio silicon tips by a combination of deep reactive ion etching and focused ion beam. The reactive ion etching is used to obtain so-called “rocket tips” which can be fabricated with a high aspect ratio. The rocket tips are further processed by using a focused ion beam to obtain nanotips at their apex. Typical results obtained are nanotips with a basis radius of 200 nm and a height of 2.5 μm, with an apex radius of 5 nm, located on top of a 3 μm wide and 9 μm high silicon column. The process would allow however obtaining column heights of several tens of microns.  相似文献   

4.
A simple and inexpensive technique for the simultaneous fabrication of positive (i.e., protruding), very high aspect (>10) ratio nanostructures together with micro‐ or millistructures is developed. The method involves using residual patterns of thin‐film over‐etching (RPTO) to produce sub‐micro‐/nanoscale features. The residual thin‐film nanopattern is used as an etching mask for Si deep reactive ion etching. The etched Si structures are further reduced in size by Si thermal oxidation to produce amorphous SiO2, which is subsequently etched away by HF. Two arrays of positive Si nanowalls are demonstrated with this combined RPTO‐SiO2‐HF technique. One array has a feature size of 150 nm and an aspect ratio of 26.7 and another has a feature size of 50 nm and an aspect ratio of 15. No other parallel reduction technique can achieve such a very high aspect ratio for 50‐nm‐wide nanowalls. As a demonstration of the technique to simultaneously achieve nano‐ and milliscale features, a simple Si nanofluidic master mold with positive features with dimensions varying continuously from 1 mm to 200 nm and a highest aspect ratio of 6.75 is fabricated; the narrow 200‐nm section is 4.5 mm long. This Si master mold is then used as a mold for UV embossing. The embossed open channels are then closed by a cover with glue bonding. A high aspect ratio is necessary to produce unblocked closed channels after the cover bonding process of the nanofluidic chip. The combined method of RPTO, Si thermal oxidation, and HF etching can be used to make complex nanofluidic systems and nano‐/micro‐/millistructures for diverse applications.  相似文献   

5.
We describe the fabrication of a patterned, hydrophobic silicon substrate that can pin a water droplet despite its large contact angle. Arrays of nm tips in silicon were fabricated by reactive ion etching using polymer masks defined by photolithography. A droplet sitting on one class of these substrates did not fall even after the substrate was turned upside-down. The production allows the fabrication of large arrays of tips with a one-step simple etching process, along with silanization, to achieve a substrate with both very large contact and tilting angles.  相似文献   

6.
R. Kaliasas 《Thin solid films》2012,520(6):2041-2045
Nanodot and nanopillar structures and precisely controlled reproducible fabrication thereof are of great interest in common nanoelectronic devices, including photonic crystals and surface plasmon resonance instruments. In this work, fabrication process of the silicon nanopillar structures is described. It includes self-organization of gold and chromium clusters at thickness close to that of one atomic diameter to serve as etching masks followed by the reactive ion etching to form silicon nanopillars. Scanning electron microscopy and X-ray photoelectron spectroscopy were used to characterize self-organized gold and chromium clusters as well as the final silicon nanopillars. This method was found to produce silicon nanopillars of sub-10 nm lateral dimensions and the diameter-to-height aspect ratio of up to 1:14.  相似文献   

7.
Dense, ordered arrays of <100>-oriented Si nanorods with uniform aspect ratios up to 5:1 and a uniform diameter of 15 nm were fabricated by block copolymer lithography based on the inverse of the traditional cylindrical hole strategy and reactive ion etching. The reported approach combines control over diameter, orientation, and position of the nanorods and compatibility with complementary metal oxide semiconductor (CMOS) technology because no nonvolatile metals generating deep levels in silicon, such as gold or iron, are involved. The Si nanorod arrays exhibit the same degree of order as the block copolymer templates.  相似文献   

8.
We report on the fabrication of silicon nanostructures with a high aspect ratio that were created using a combination of electrochemical etching and alkaline etching. With this technique, we were able to fabricate nano- and/or micro-wire structures that are perfectly periodic over large areas of 3.14 cm2. After porous silicon was created by electrochemical etching, the effect of post-alkaline etching was investigated to determine how changes in the etching time, solution concentration and temperature of the etchant influenced the silicon morphology. As a result, periodic silicon wire arrays with good vertical alignment were obtained, and these arrays had a width of less than 500 nm and/or a high aspect ratio of more than 20.  相似文献   

9.
In this paper, a new combined method of sub-micron high aspect ratio structure fabrication is developed which can be used for production of nano imprint template. The process includes atomic force microscope (AFM) scratch nano-machining and reactive ion etching (RIE) fabrication. First, 40 nm aluminum film was deposited on the silicon substrate by magnetron sputtering, and then sub-micron grooves were fabricated on the aluminum film by nano scratch using AFM diamond tip. As aluminum film is a good mask for etching silicon, high aspect ratio structures were finally fabricated by RIE process. The fabricated structures were studied by SEM, which shows that the grooves are about 400 nm in width and 5 microm in depth. To obtain sub-micron scale groove structures on the aluminum film, experiments of nanomachining on aluminum films under various machining conditions were conducted. The depths of the grooves fabricated using different scratch loads were also studied by the AFM. The result shows that the material properties of the film/substrate are elastic-plastic following nearly a bilinear law with isotropic strain hardening. Combined AFM nanomachining and RIE process provides a relative lower cost nano fabrication technique than traditional e-beam lithography, and it has a good prospect in nano imprint template fabrication.  相似文献   

10.
Fresnel zone plates (FZPs) for soft X-ray microscopy with an energy range of 284 eV to 540 eV are designed and fabricated in a simple method. An adequate aspect ratio of the resist mold for electroplating was obtained by the proximity effect correction technology for an incident electron beam on a single thick layer resist. Without additional complicated reactive ion etching, a sufficient electro plating mold for nickel structures was fabricated. The overall fabrication procedures which involve a mix-and-match overlay technique for electron beam lithography and an optic exposure system that centers the membrane on the nanostructures, and hybrid silicon etching technology in junction with deep anisotropy and a KOH wet method in order to release the backside Si substrates of the Si3N4 membranes with no deformation of FZPs are introduced. High quality nanostructures with minimum outermost zone widths of 50 nm and diameters of 120 microm were fabricated with simplified fabrication process and with cost-effective.  相似文献   

11.
利用自感应耦合等离子(ICP)蚀刻机进行硅深层反应离子刻蚀,得到了几微米宽的狭槽,其轮廓通常为正锥形,即蚀刻槽的宽度随着蚀刻深度的增大而减小.然而,对一个宽槽来说,由于等离子区内边界层的变形,其蚀刻宽度会随着蚀刻深度的增加而增加.在许多应用中,硅蚀刻轮廓侧面的垂直状况是一个关键性问题.叙述了分离式垂直镜的加工过程;研究了影响蚀刻轮廓的各种重要参数.经过引入多步制法与优化激励源、基底偏压源及加工压力,减小了等离子区边界层内的变形,改善了轮廓的蚀刻状况.得到的结果为:120μm高垂直微镜垂直度为89.7°,200μm高垂直微镜垂直度为89.3°.  相似文献   

12.
Nanopores with conical geometries have been found to rectify ionic current in electrolytes. While nanopores in semiconducting membranes are known to modulate ionic transport through gated modification of pore surface charge, the fabrication of conical nanopores in silicon (Si) has proven challenging. Here, we report the discovery that gold (Au) nanoparticle (NP)-assisted plasma etching results in the formation of conical etch profiles in Si. These conical profiles result due to enhanced Si etch rates in the vicinity of the Au NPs. We show that this process provides a convenient and versatile means to fabricate conical nanopores in Si membranes and crystals with variable pore-diameters and cone-angles. We investigated ionic transport through these pores and observed that rectification ratios could be enhanced by a factor of over 100 by voltage gating alone, and that these pores could function as ionic switches with high on-off ratios of approximately 260. Further, we demonstrate voltage gated control over protein transport, which is of importance in lab-on-a-chip devices and biomolecular separations.  相似文献   

13.
This paper describes the fabrication of large arrays (10(6) units in 1?cm(2)) of 100?μm tall, single-crystal silicon columns with submicron tip cross-sections. The columns are formed using thin film deposition and growth, reactive ion etching, and deep reactive ion etching. The columns can be either slightly tapered or have pencil-like morphology with nanoscaled tip diameter (41?nm). Conformal thin film coating was used to substantially and uniformly modify the porous structure and, thus, vary by orders of magnitude the fluid permeability of the structure. Gaps between the vertical pillars were varied between 9?μm and 50?nm. Isolated 45?nm diameter, 5?μm tall plasma enhanced chemical vapour deposited multi-walled carbon nanotubes (MWNTs) were grown on the top surface of the columns using a 7?nm thick evaporated Ni film as catalyst. Field emission characterization of the resulting structure was conducted and it is in agreement with scanning electron micrographs of the MWNTs.  相似文献   

14.
利用离子束刻蚀(IBE)和反应离子刻蚀(RIE)等干法刻蚀方法来制造带栅极的场发射阴极阵列。本文描述了其制作的流程工艺,并对制作中的一些关键问题和技术进行了讨论。采用SF6作为反应气体的RIE用来制作硅尖,而用CF4 H2为反应气体的RIE用来清除SiO2,离子束刻蚀用来形成栅极。采用干法刻蚀,可以制造出栅极开口小的场发射阴极阵列。  相似文献   

15.
Fabrication of solid-state nanopores with single-nanometre precision   总被引:2,自引:0,他引:2  
Single nanometre-sized pores (nanopores) embedded in an insulating membrane are an exciting new class of nanosensors for rapid electrical detection and characterization of biomolecules. Notable examples include alpha-hemolysin protein nanopores in lipid membranes and solid-state nanopores in Si3N4. Here we report a new technique for fabricating silicon oxide nanopores with single-nanometre precision and direct visual feedback, using state-of-the-art silicon technology and transmission electron microscopy. First, a pore of 20 nm is opened in a silicon membrane by using electron-beam lithography and anisotropic etching. After thermal oxidation, the pore can be reduced to a single-nanometre when it is exposed to a high-energy electron beam. This fluidizes the silicon oxide leading to a shrinking of the small hole due to surface tension. When the electron beam is switched off, the material quenches and retains its shape. This technique dramatically increases the level of control in the fabrication of a wide range of nanodevices.  相似文献   

16.
We investigated GaN-based heterostructures grown on three-dimensionally patterned Si(111) substrates by metal organic vapour phase epitaxy, with the goal of fabricating well controlled high quality, defect reduced GaN-based nanoLEDs. The high aspect ratios of such pillars minimize the influence of the lattice mismatched substrate and improve the material quality. In contrast to other approaches, we employed deep etched silicon substrates to achieve a controlled pillar growth. For that a special low temperature inductively coupled plasma etching process has been developed. InGaN/GaN multi-quantum-well structures have been incorporated into the pillars. We found a pronounced dependence of the morphology of the GaN structures on the size and pitch of the pillars. Spatially resolved optical properties of the structures are analysed by cathodoluminescence.  相似文献   

17.
We report the fabrication of degenerately doped silicon (Si) nanowires of different aspect ratios using a simple, low-cost and effective technique that involves metal-assisted chemical etching (MacEtch) combined with soft lithography or thermal dewetting metal patterning. We demonstrate sub-micron diameter Si nanowire arrays with aspect ratios as high as 180:1, and present the challenges in producing solid nanowires using MacEtch as the doping level increases in both p- and n-type Si. We report a systematic reduction in the porosity of these nanowires by adjusting the etching solution composition and temperature. We found that the porosity decreases from top to bottom along the axial direction and increases with etching time. With a MacEtch solution that has a high [HF]:[H(2)O(2)] ratio and low temperature, it is possible to form completely solid nanowires with aspect ratios of less than approximately 10:1. However, further etching to produce longer wires renders the top portion of the nanowires porous.  相似文献   

18.
Metallic nanostructures with high aspect ratios are important for developing devices in photonics and integrated optics. However, fabricating well-aligned plasmonic arrays is challenging due to the difficulties of etching metals. In this work, we investigate the feasibility of constructing high aspect ratio nanorods with desired shapes and controllable geometric parameters using direct focused ion beam etching. The whole fabrication process only involves a metal-deposition step and a single milling of designed patterns. Detailed characterizations of the fabricated devices are also experimentally demonstrated.  相似文献   

19.
Recent process developments have permitted the highly anisotropic bulk micromachining of titanium microelectromechanical systems (MEMS). By using the metal anisotropic reactive ion etching with oxidation (MARIO) process, arbitrarily high-aspect-ratio structures with straight sidewalls and micrometre-scale features have been bulk micromachined into titanium substrates of various thicknesses, ranging from 0.5-mm sheet down to 10-microm free-standing titanium foils. Bulk micromachined structures are generally free of residual stresses and are preferred when large, rigid, flat and/or high-force actuators are desired. However, so far there has been a limited ability to select materials on the basis of specific application in bulk micromachining, primarily because of the predominance of MEMS processes dedicated to single-crystal silicon, such as silicon deep reactive ion etching. The MARIO process permits the creation of bulk titanium MEMS, which offers potential for the use of a set of material properties beyond those provided by traditional semiconductor-based MEMS. Consequently, the MARIO process enables the fabrication of novel devices that capitalize on these assets to yield enhanced functionalities that would not be possible with traditional micromechanical material systems.  相似文献   

20.
Wang H  Jin Z  Zheng Y  Ma H  Li T  Wang Y 《Nanotechnology》2008,19(17):175307
Boron is selectively implanted on the surface of an n-type silicon wafer to form a p-type area surrounded by an n-type area. The wafer is then put into a buffered oxide etch solution. It is found that the n-type area can be selectively etched without illumination, with an etching rate lower than 1?nm?min(-1), while the p-type area can be selectively etched under illumination with a much higher etching rate. The possible mechanism of the etching phenomenon is discussed. A simple fabrication process of silicon nanowires is proposed according to the above phenomenon. In this process only traditional micro-electromechanical system technology is used. Dimensions of the fabricated nanowire can be controlled well. A 50?nm wide and 50?nm thick silicon nanowire has been formed using this method.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号