首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 765 毫秒
1.
《Microelectronics Journal》2003,34(5-8):651-653
In this work, we use the thick layer of polymethylmethacrylate polymer, for micromachining development. In the development of the structures, a three layer process is used. In a silicon wafer is deposited the thick layer spin coating. Over this layer is deposited a thin layer of silicon. The third layer is 1.5 μm of e-beam resist deposited by spin coating. After the deposition of the layers, we perform the e-beam lithography in the top layer resist. This pattern is transferred by plasma etching for the silicon layer. The resolution limits of this process is the resolution of the electron resist and is increased to 0.25 μm (nanometric resolution), using an electron beam spot size of 50 nm and dry development.  相似文献   

2.
Resists are radiation-sensitive materials used in the fabrication of integrated circuits (VLSI) for imaging the desired pattern onto the silicon wafer. Most resists in use today consist of polymeric solutions that are spin-coated onto the silicon wafer, exposed in a lithographic tool, developed, and completely removed after the pattern has been transferred to the substrate. This paper presents a historical development of resist materials, present uses of resists, and future requirements, dictated primarily by developments in lithographic tools.  相似文献   

3.
This article studied the resistance of the negative electron resist based on hydrogen-silsesquioxane (HSQ) depending on the dose of exposure in the process of Reactive Ion Etching (RIE). These studies showed the strong dependence of resistance on irradiation dose (in case of full development of the e-beam resist) even after annealing the resist 30 minutes 400°C in air. Selectivity up to 14 was obtained in the process of reactive ion etching of silicon in a mixture of gases SF6: C4F8. These results can be used to manufacturing of silicon nanoscale structures. It was shown that the resistance to wet etching in a 5% solution of hydrofluoric acid (HF) is also determined by irradiation dose. Additionally, taking into account the obtained results, silicon nanowires of width 10 nm with an aspect ratio of 1: 10 was manufactured.  相似文献   

4.
Nanoimprint lithography (NIL) is a promising candidate technology to fabricate patterned media for the next generation hard disk drives (HDD). The requirement of pattern pitch for the HDD or discrete-track recording (DTR) media will be as small as from 40 to 50 nm by 2011 or 2012. However not only to create such fine pitch but also long e-beam writing time such as 1 week with conventional high resolution resist ZEP520A are critical. This paper addresses the fabrication processes to combine silicon substrate and a new chemically amplified resist (CAR) for the master molds of this NIL. The e-beam writing speed with this new CAR was achieved over 3-times faster while 50 nm fine DTR patterns were demonstrated with rotary stage e-beam writer. Furthermore, the replication with J-FIL from the master mold into quartz working mold was also demonstrated.  相似文献   

5.
A novel exposure technique based on spectral shaping over a narrow exposure band (305-335 nm) has been used to achieve resolution comparable to the exposing wavelength in positive photoresist. Two hundred arrays of comb meander patterns with linewidths as small as 0.20 µm, and 3 cm in length were vacuum contact printed in AZ2415 resist on 50 mm diameter oxidized silicon substrates. The average standard deviation of linewidth variations is only 300 Å and resist pattern cross-sections show vertical edge profiles with aspect ratios of 2:1 or better.  相似文献   

6.
A novel hybrid resist for UV nanoimprint lithography (UV‐NIL) based on the thiol–ene photopolymerization is presented. Our system comprises mercaptopropyl polyhedral oligomeric silsesquioxane and benzyl methacrylate, with trimethylolpropane trimethacrylate as the crosslinker. The obtained hybrid resists possess a variety of characteristics desirable for UV‐NIL, such as low viscosity (6.1–25 cP), low bulk‐volumetric shrinkage (5.3%), high Young's modulus (0.9–5.2 GPa), high thermal stability, and excellent dry‐etch resistance. Based on these performances, the optimized components are evaluated as UV‐NIL resists. The result is a high‐resolution pattern with feature sizes in the range of 100 nm to several microns. The double‐layer resist approach is used for pattern transfer into silicon substrates. The excellent oxygen‐etch resistance of the barrier material enables a final transfer pattern that is about three times higher than that of the original NIL mold.  相似文献   

7.
Minimum substrate loss is required for resist strip of high dose, ultra shallow junction implant for source/drain extensions. Silicon surface oxidation of downstream plasma resist strip results in silicon recess of the source/drain extension regions. This paper reports the study of silicon surface oxidation for different resist strip plasma chemistries and the effect of plasma strip process parameters such as power, pressure and temperature on silicon surface oxidation. A good agreement was found between optical ellipsometry, XPS (X-ray photoelectron spectroscopy) and TEM (transmission electron spectroscopy) for thickness measurement of very thin (<20 Å) oxide grown on silicon surface due to plasma exposure. Selectivity of crust breakthrough and resist removal over silicon oxidation was also discussed in this paper along with dopant loss.  相似文献   

8.
The influence of u.v. exposure on developed positive resist pattern followed by hard baking and etching at low temperature has been utilized in the present work to produce grid structures without using metal pattern mask during substrate thinning of transit time devices. The present process eliminates one step of metallization which is required in the conventional method, and this reduces the overall cost of fabrication. The process reported in this paper can be used in selective etching of silicon in other areas of device fabrication as well.  相似文献   

9.
Photolithography plays a vital role in micromachining process however; coating a thin and uniform resist layer on a non-planar surface is a challenging task for micro-electro-mechanical system (MEMS). Conventional spin coating of photoresist (PR) over an un-even surface would deliver streaks all over the wafer surface. Spray coating of PR is a promising technique when compared to other candidates. This paper presents an efficient pattern transfer of microstructures between the bulk micromachined cavities over silicon and glass wafers using an uncommon photoresist mixture being spray coated. The method is simple and highly cost effective. Finally we implemented this technique for a MEMS application to prove the feasibility of spray coating for microstructure fabrication.  相似文献   

10.
A dry etching technology for 1-µm VLSI has been developed. This technology led to successful fabrication of a 1-µm 256-kbit MOS RAM using electon-beam direct writing and molybdenum-polysilicon double-gate structure. Silicon nitride, silicon dioxide, phosphosilicate glass, polysilicon, single-crystal silicon, molybdenum, and aluminum are etched by parallel-plate RF diode reactors. Resist patterns are used as etching masks. The negative resist is CMS and the positive resist is FPM. Plasma polymerization is found to have significant effect on etching selectivity, undercutting, and residue. Directional etching profiles are realized and 1-µm patterns with less than 0.05-µm undercutting are obtained. High etching selectivities are achieved. Methods for preventing and removing contamination as well as damage are established. With these, dry etching proves to bring no adverse effects on device characteristics. Pattern-width fluctuations caused by negative-resist pattern foot are decreased to below 0.1 µm by a new foot trimming technique. Resist step coverage is also clarified.  相似文献   

11.
Ultra-dense nanometer-scale gratings (20 nm pitch) on thin silicon nitride (Si3N4) membrane substrates using hydrogen silsesquioxane (HSQ) resist have been fabricated. Scanning transmission electron microscopy (STEM) and electron energy loss spectroscopy (EELS) were performed to evaluate the pattern quality of the HSQ gratings. The results are compared with HSQ gratings fabricated on silicon substrates.  相似文献   

12.
Commonly stamps or masters for nanoimprinting are made by electron beam lithography (EBL) and subsequent reactive ion etching into silicon. Here we present a single step procedure to prepare stamps suitable for nanoimprinting and hot embossing. The stamps are directly fabricated in HSQ (hydrogen silsequioxane), a negative EBL resist, which has a high lateral resolution and good mechanical properties. We demonstrate successful pattern transfer in both bulk PMMA and PCL by hot embossing with features down to 20 nm. Such pattern transfer is useful for biological applications. Also, we demonstrate that this approach can make stamps suitable for nanoimprint lithography and have achieved features as small as 35 nm. It was found that the stability and strength of the HSQ could be improved by annealing and that the application of a non-stick coating was not necessarily required although it aided the demoulding.  相似文献   

13.
在硅为衬底材料的自支撑氮化硅薄膜上,采用阴阳图形互换转移技术,先使用电子束直写方法制作成功了最外环为150nm的阳图形微波带片,然后用同步辐射X射线光刻技术复制成功了最外环为150nm的阴图形微波带片,得到可以应用于ICF诊断技术中的微波带片.  相似文献   

14.
Chemical interaction of resist and substrate at the interface, which modifies the dissolution reaction, has degraded sidewall profile of resist features. Depending on the nature of the residue on the substrate, the “bottom pinching” (BP) effect and footing are observed, especially for chemically amplified (CA) resists. The BP effect is observed for CA resist on top of organic bottom antireflection coating (BARC). The BP effect is attributed to the acid generated from the underlying organic BARC. With optimization on softbake temperature of BARC, the BP effect is eliminated. On a silicon nitride surface, new chemical information has been obtained which explains “footing” and BP effects in CA resists. X-ray photoelectron spectroscopy (XPS) measurements indicate that the residual alkaline molecules on the nitride surface play a major role in the formation of footing. It appears that the organic contaminants are not responsible for footing. Less severe footing is observed if the nitride surface is plasma-deposited with a thin oxide cap, which suppresses the surface basicity. However, extended plasma deposition causes resist BP. This is ascribed to the surface acidity of a newly formed oxide cap, which enhances the CA resist development process. Results show that the N (1 s) peak, after extended plasma treatment, has shifted to a higher binding state, which suggests that the nitride surface becomes acidic, causing BP  相似文献   

15.
The exposure time of an X-ray lithography system is minimized by the appropriate choice of X-ray wavelength and target excitation voltage, within the constraints of a specified resolution and contrast in the exposed resist pattern. The factors that must be considered in making this choice are the X-ray source brightness of various target materials, the continuum emission spectrum of the target, the wavelength-dependent transmission of the X-ray mask and the vacuum window, and the wavelength-dependent absorption in the resist. The relative exposure time, as a function of wavelength, is predicted for a system using a 25-µm-thick beryllium window and PMMA resist with three choices of mask substrate: 12.5- µm-thick Mylar, 4.0-µm-thick silicon, and 8.5-µm-thick beryllium. A new mask substrate, 0.2-µm-thick aluminum oxide, is presented and shown to be suitable for exposure in vacuum with a 2.5-µm-thick aluminum filter at 13.3-Å wavelength (copper target). X-ray emission spectra from an aluminum target were measured at electron energies of 4.5, 7.9, 10.4, 12.5, 15.5, 19.5, and 28.5 keV. These spectra showed that the continuum radiation contributes little to the degradation of contrast with a gold-on-silicon X-ray mask. Thus a 20-kV electron beam may be used for maximum X-ray production efficiency.  相似文献   

16.
Thermal Soft UV nanoimprint lithography (NIL) was performed to replicate nanostructures in SU-8 resist. The SU-8 resist was structured with a PDMS stamp molded against an original silicon master which comported gratings of lines (500 nm width/1 μm pitch). The patterns obtained in SU-8 were used in a second step as a template for PDMS molding of daughter stamps. Pattern transfer quality and dimension control were achieved on these second generation PDMS stamps using AFM measurements. As a final validation of the whole duplication processes, these second generation PDMS stamps were finally employed to perform μCP of streptavidin molecules on a glass slide activated by plasma O2 treatment. AFM observation and fluorescence microscopy reveal that molecular patterns produced with SU8-molded PDMS stamps are not discernable from those obtained with a PDMS stamp directly molded on the original silicon master. Coupling Thermal Soft UV NIL and microcontact printing opens a new method for generating a large quantity of SU-8 templates on which functional PDMS stamps can be replicated in a reduced time. We thus propose a functional duplication process for soft-lithography implementation which may further reduce the cost of this technology for industrial development.  相似文献   

17.
In this paper, we present an alternative technique to the well-known electron beam lithography in order to realize nanoholes in the silicon substrates for biological applications. The used technique is soft UV nanoimprint lithography (UV-NIL). We optimized the fabrication of silicon based supports obtained by soft UV-NIL and reactive ion etching to carry out very large arrays of nanoholes. The resolution limits are investigated when using poly(dimethylsiloxane) as flexible mold material. RIE conditions are initiated to limit the lateral mask resist etch.  相似文献   

18.
Barium titanate microstructures with minimum feature sizes of 2.5 μm are fabricated on silicon substrates coated with platinum by a combination of hydrothermal growth and lift-off. Polycrystalline, cubic barium titanate is grown at a temperature of 80°C. Microstructures are obtained by positive and negative patterning processes, where the former leads to the better lift-off results due to the thicker resist layer. The minimum feature size of 2.5 μm refers to the minimum line width and separation between structures. It is limited by the optical lithography used in this work. The resolution of the pattern edges is estimated to be approximately 80 nm and would allow the fabrication of even smaller patterns. Additionally, it is shown that the use of electrochemistry for growth of thin barium titanate films is compatible with lift-off and leads to similar pattern definition.  相似文献   

19.
An Ag/Se-Ge inorganic resist technology is applied to photolithographic processes in LSI fabrication. This paper describes exposure latitude, RIE characteristics, resist fabrication and exposure throughputs, pattern alignment, defocus tolerances and fabrication yields of Al interconnection.Lateral Ag diffusion does not effectively improve the exposure tolerance. The technology exhibits good compatibility with other equipment and technologies, offering satisfactory throughput. Excellent accuracy in pattern alignment is obtained owing to dry-deposition fabrication and the suitable optical properties of the Se-Ge inorganic film, which result in regulated and distinct alignment signals. Defocus tolerance in the resist is larger than that of polymer resist by 2 ∽ 3 μm in the submicron pattern. Al interconnections using this resist demonstrate a short-circuit failure rate of less than 1% and small variation in linewidth having a standard deviation of σw = 0.08 μm.In practical processes, the significant advantages of this resist are its bilayer resist structure (doped Ag-Se-Ge and underlying Se-Ge) and its dry deposition, very thin, favorable optical characteristics.  相似文献   

20.
Functional polymer brush nanostructures are obtained by combining step‐and‐flash imprint lithography (SFIL) with controlled, surface‐initiated polymerization (CSIP). Patterning is achieved at length scales such that the smallest elements have dimensions in the sub‐100 nm range. The patterns exhibit different shapes, including lines and pillars, over large surface areas. The platforms obtained are used to selectively immobilize functional biomacromolecules. Acrylate‐based polymer resist films patterned by SFIL are first used for the selective immobilization of ATRP silane‐based initiators, which are coupled to unprotected domains of silicon substrates. These selectively deposited initiators are then utilized in the controlled radical SIP of poly(ethylene glycol)methacrylates (PEGMA). Nanostructured brush surfaces are then obtained by removal of the resist material. The areas previously protected by the SFIL resist are passivated by inert, PEG‐based silane monolayers following resist removal. PEGMA brush nanostructures are finally functionalized with biotin units in order to provide selective attachment points for streptavidin proteins. Atomic force microscopy and fluorescence spectroscopy confirm the successful immobilization of streptavidin molecules on the polymer grafts. Finally, it is demontrated that this fabrication method allows the immobilization of a few tens of protein chains attached selectively to brush nanostructures, which are surrounded by nonfouling PEG‐functionalized areas.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号