首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
We propose a new method to control the threshold voltages (Vth) in sub-0.2 μm MOSFETs. The method suppresses Vth fluctuations caused by variations in the fabricated gate length. Our scheme is to change the concentration of the channel impurity according to the gate length by tilted ion implantation from two directions after the polysilicon gate formation. We show the feasibility of our process by two-dimensional (2-D) process and device simulations. Then we clarify that our scheme was realized in fabricated nMOSFETs. We also measured the Vth in numerous MOSFETs and show that our method can indeed suppress Vth fluctuations caused by variations in the fabricated gate length  相似文献   

2.
This paper presents an improved figure-of-merit (FOM) for CMOS performance which includes the effect of gate resistance. Performance degradation due to resistive polysilicon gates is modeled as an additional delay proportional to the RC product of a polysilicon line. The new FOM is verified from delay measurements on inverter chains fabricated using a 0.25-μm CMOS process. A furnace TiSi2 process is used to underscore the effect of increased sheet resistance of narrow polysilicon lines. Excellent correlation between measured and predicted inverter chain delays is obtained over a variety of design, process and bias conditions. An expression for the gate sheet resistance requirement is derived from the new FOM. Using this expression, requirements on the gate sheet resistance are calculated corresponding to a technology roadmap for performance and oxide thickness  相似文献   

3.
The metal gate work function deviation (crystal orientation deviation) was found to cause the threshold voltage deviation (ΔV th) in the damascene metal gate transistors. When the TiN work function (crystal orientation) is controlled by using the inorganic CVD technique, ΔVth of the surface channel damascene metal gate (Al/TiN or W/TiN) transistors was drastically improved and found to be smaller than that for the conventional polysilicon gate transistors. The reason for the further reduction of the threshold voltage deviation (ΔVth) in the damascene metal gate transistors is considered to be that the thermal-damages and plasma-damages on gate and gate oxide are minimized in the damascene gate process. High performance sub-100 nm metal oxide semiconductor field effect transistors (MOSFETs) with work-function-controlled CVD-TiN metal-gate and Ta2O5 gate insulator are demonstrated in order to confirm the compatibility with high-k gate dielectrics and the technical advantages of the inorganic CVD-TiN  相似文献   

4.
Gate engineering for deep-submicron CMOS transistors   总被引:2,自引:0,他引:2  
Gate depletion and boron penetration through thin gate oxide place directly opposing requirements on the gate engineering for advanced MOSFET's. In this paper, several important issues of deep-submicron CMOS transistor gate engineering are discussed. First, the impact of gate nitrogen implantation on the performance and reliability of deep-submicron CMOSFET's is investigated. The suppression of boron penetration is confirmed by the SIMS profiles, and is attributed mainly to the diffusion retardation effect in bulk polysilicon by the presence of nitrogen. The MOSFET' I-V characteristics, MOS capacitor quasi-static C-V curves, SIMS profiles, gate sheet resistance, and oxide Qbd are compared for different nitrogen implant conditions. A nitrogen dose of 5×1015 cm-2 is found to be the optimum choice at an implant energy of 40 keV in terms of the overall electrical behavior of CMOSFET's. Under optimum design, gate nitrogen implantation is found to be effective in eliminating boron penetration without degrading performance of either p+ gate p-MOSFET and n+ gate n-MOSFET. Secondly, the impact of gate microstructure on the performance of deep-submicron CMOSFET's is discussed by comparing poly and amorphous silicon gate deposition technologies. Thirdly, poly-Si1-xGex is presented as a superior alternative gate material. Higher dopant activation efficiently results in higher active-dopant concentration near the gate/SiO2 interface without increasing the gross dopant concentration. This plus the lower annealing temperature suppress the dopant penetration. Phosphorus-implanted poly-Si1-xGex is gate is compared with polysilicon gate in this study  相似文献   

5.
The effect of nitrogen (N14)implant into dual-doped polysilicon gates was investigated. The electrical characteristics of sub-0.25-μm dual-gate transistors (both p- and n-channel), MOS capacitor quasi-static C-V curve, SIMS profile, poly-Si gate Rs , and oxide Qbd were compared at different nitrogen dose levels. A nitrogen dose of 5×1015 cm-2 is the optimum choice at an implant energy of 40 KeV in terms of the overall performance of both p- and n-MOSFETs and the oxide Qbd. The suppression of boron penetration is confirmed by the SIMS profiles to be attributed to the retardation effect in bulk polysilicon with the presence of nitrogen. High nitrogen dose (1×1016 cm-2) results in poly depletion and increase of sheet resistance in both unsilicided and silicided p+ poly, degrading the transistor performance. Under optimum design, nitrogen implantation into poly-Si gate is effective in suppressing boron penetration without degrading performance of either p- or n-channel transistors  相似文献   

6.
A planarized Ti-polycide gate structure with high thermal stability has been developed using a chemical-mechanical polishing (CMP) process for the application of high-speed DRAM devices. For a given gate length and without any thermal annealing, the planarized Ti-polycide structure developed via a novel gate line formation technology manifested a substantially lower gate line resistance than that produced by a conventional processing method. In addition, the agglomeration of the TiSi2 gate in a deep submicron regime was suppressed even after high-temperature cycling at 850°C for 300 min, owing to a negligible local stress at the corner of the active and field region  相似文献   

7.
This letter describes a metal/polysilicon damascene gate technology for RF power LDMOSFETs. We compare the performance of SOI LDMOSFETs with metal/polysilicon damascene gates to that of identical devices with n/sup +/ polysilicon gates. The gate sheet resistance of the metal/polysilicon gate was 0.2 /spl Omega//sq. This very low sheet resistance greatly improved f/sub max/ and peak PAE, especially for the wide gate fingers that are critical in RF power applications. With a 140 /spl mu/m gate finger width, f/sub max/ was improved from 5 GHz to 25 GHz, and peak PAE at 1.9 GHz was improved from 12% to 52%.  相似文献   

8.
A novel electrically induced body dynamic threshold metal oxide semiconductor (EIB-DTMOS) is proposed where the body is electrically induced by substrate bias and its high performance is demonstrated by experiments and simulations. EIB-DTMOS achieves a large body effect and a low Vth at the same time. The upper limit of the supply voltage of the EIB-DTMOS is higher than that of a conventional DTMOS, because the forward biased p-n junction leakage current of the EIB-DTMOS is lower. Among several DTMOSs, the accumulation mode EIB-DTMOS shows the highest drive-current at fixed off-current due to a large Vth Shift (or large back gate capacitance) and a suppressed short channel effect  相似文献   

9.
Flexibly controllable threshold-voltage (Vth) asymmetric gate-oxide thickness (Tox) four-terminal (4T) FinFETs with HfO2 [equivalentoxidethickness(EOT)=1.4 nm] for the drive gate and HfO2+thick SiO2 (EOT=6.4-9.4 nm) for the Vth-control gate have been successfully fabricated by utilizing ion-bombardment-enhanced etching process. Owing to the slightly thick Vth-control gate oxide, the subthreshold slope (S) is significantly improved as compared to the symmetrically thin Tox 4T-FinFETs. As a result, the asymmetric Tox 4T-FinFETs gain higher Ion than that for the symmetrically thin Tox 4T-FinFETs under the same Ioff conditions  相似文献   

10.
Polysilicon encapsulated local oxidation (PELOX) is proposed as an effective isolation technique that satisfied advanced device requirements without any difficult-to-control structures or processes. Simple modifications to a standard local oxidation of silicon (LOCOS) process flow minimize encroachment without introducing defects. These modifications include an HF dip after nitride patterning to form a cavity self-aligned to the nitride edge, reoxidation of exposed silicon, and polysilicon deposition to fill the cavity. Physical (scanning electron micrographs) and electrical (gate oxide quality, diode integrity, and Weff) data which indicate that cavity reoxidation is critical to obtaining significant bird's beak reduction without defect introduction are presented  相似文献   

11.
Anomalous capacitance-voltage behavior of arsenic-implanted polysilicon and amorphous Si gate MOS structures fabricated with and without a TiSi2 layer is reported. The C-V characteristics and specifically the inversion and accumulation capacitances are gate-bias-dependent and are strongly affected by annealing temperature, silicidation, and polysilicon gate microstructure (i.e. polysilicon versus amorphous gate). The results can be explained by insufficient As redistribution, coupled with carrier trapping, and As segregation at polysilicon grain boundaries and in TiSi2. All these effects lead to the formation of a depletion region in the polysilicon gate and thus to the anomalous C-V behavior  相似文献   

12.
We report on DC and microwave characteristics for high electron-mobility transistors (HEMT's) grown on Si substrates by metal-organic chemical vapor deposition (MOCVD). Threshold voltage (V th) distribution in a 3-in wafer shows standard deviation of Vth (σVth) of 36 mV with Vth of -2.41 V for depletion mode HEMT's/Si and σVth of 31 mV with Vth of 0.01 V for enhancement mode, respectively. The evaluation of Vth in a 1.95×1.9 mm2 area shows high uniformity for as-grown HEMT's/Si with σVth of 9 mV for Vth of -0.10 V, which is comparable to that for HEMT's/GaAs. Comparing the Vth distribution pattern in the area with that for annealed HEMT's/Si, it is indicated that the high uniformity of Vth is obtained irrelevant of a number of the dislocations existing in the GaAs/Si. From microwave characteristic evaluation for HEMT's with a middle-(10~50 Ω·cm) and a high-(2000~6000 Ω·cm) resistivity Si substrate using a new equivalent circuit model, it is demonstrated that HEMT's/Si have the disadvantage for parasitic capacitances and resistances originated not from the substrate resistivity but from a conductive layer at the Si-GaAs interface. The parasitic parameters, especially the capacitances, can be overcome by the reduction of electrode areas for bonding pads and by the insertion of a dielectric layer under the electrode, which bring high cut-off frequency (fT) and maximum frequency of operation (fmax) of 24 GHz for a gate length of 0.8 (μm). These results indicate that HEMT's/Si are sufficiently applicable for IC's and discrete devices and have a potential to be substituted for HEMT's/GaAs  相似文献   

13.
The bias temperature instability in surface-channel p+ polysilicon gate p-MOSFETs was evaluated. It was found that a large negative threshold voltage shift (ΔVth,BT) is induced by negative bias temperature (BT) stress in short-channel p+ polysilicon gate p-MOSFETs. This Vth shift, which depends on the gate length of p-MOSFETs, is a new degradation mode. In this degradation, the negative ΔVth,BT increases significantly with a reduction in the gate length. It was shown that this is because of the local degradation of the gate oxide near the gate edge. This degradation is caused by the electrochemical reaction between holes and oxide defects and it is enhanced by boron penetration through the gate oxide from p+-gate. For the bias temperature instability in p+ -gate p-MOSFETs, sufficient care should be taken in scaled dual-gate CMOS devices  相似文献   

14.
We have investigated the thermal degradation of gate oxide in metal-oxide-semiconductor (MOS) structures with Ti-polycide gates. We found that the Ti-diffusion into the underlying polysilicon and consequently to the gate oxide occurs upon thermal cycling processes, which results in the dielectric breakdown of the gate oxide. We also found that the Ti-diffusion is suppressed by the employment of the thin (about 5 nm) titanium nitride (TiN) diffusion barrier layer, which consequently improved the reliability characterisitics of gate oxide significantly.  相似文献   

15.
An As-P double-diffused lightly doped drain (LDD) device has been designed and fabricated with a self-aligned titanium disilicide process. The device design was aided by using an analytical one-dimensional model, and analytic results agree well with experimental data on the avalanche breakdown voltage gain and the ratio of substrate current to source current. Threshold voltage and subthreshold characteristics of this device do not deviate from those of a conventional device without LDD and silicide. The drain avalanche breakdown voltage of the LDD device is higher by 2.5 V over the conventional device. Transconductance degradation was observed for the LDD devices due to the inherently high source-drain series resistance of the LDD structure. Substrate current is reduced and hot-electron reliability is greatly improved. The titanium disilicide process effectively reduces the sheet resistances of the source-drain diffusion and the polysilicon gate to 3 Ω/sq compared with 150 Ω/sq of the unsilicided counterparts. It is also found that larger polysilicon grain size increases the sheet resistance of the silicide gate due to discontinuous titanium disilicide formation on top of polysilicon.  相似文献   

16.
The anomalous CV characteristics of MOS capacitor structures with implanted n+ polysilicon gate and p-type silicon substrate are studied through physical device simulation and experimental characterization over a wide range of frequencies and temperatures ranging from 100 to 250 K. It is shown that this anomalous CV behavior can be fully explained by the depletion of electrons and the formation of a hole inversion layer in the polysilicon gate due to energy band bending. The use of transistor structures for characterizing the polysilicon gate electrode is proposed. The results suggest thermal generation rather than impact ionization to be the dominant physical mechanism in supplying holes required by the inversion layer at the polysilicon-SiO2 interface. This result also implies that hot-hole injection from the polysilicon gate into the SiO 2 gate dielectric should not present a serious problem in device reliability  相似文献   

17.
In this paper, we investigate the onset of boron penetration at the P+-poly/gate oxide interface. It is found that conventional detection methods such as shifts in flatband voltage or threshold voltage (Vt) and charge-to-breakdown (QBD) performance in accumulation mode failed to reveal boron species near this interface. On the contrary, under constant current stressing with inversion mode bias conditions, significantly lower QBD and large Vt shift have been observed due to boron penetration near the P+-poly/gate oxide interface. These results suggest that onset of boron penetration at the P+ -poly/gate oxide interface does not alter fresh device characteristics, but it induces severe reliability degradation for the gate oxide. Tradeoffs of boron penetration and poly depletion are also studied in this work with different combinations of polysilicon thickness, BF2 implant energy and dose, and the post-implant RTA temperature  相似文献   

18.
The inhomogeneity of Schottky-barrier (SB) height PhiB is found to strongly affect the threshold voltage Vth of SB-MOSFETs fabricated in ultrathin body silicon-on-insulator (SOI). The magnitude of this influence is dependent on gate oxide thickness tOX and SOI body thickness; the contribution of inhomogeneity to the Vth variation becomes less pronounced with smaller tOX and/or larger tsi . Moreover, an enhanced Vth variation is observed for devices with dopant segregation used for reduction of the effective PhiB . Furthermore, a multigate structure is found to help suppress the Vth variation by improving carrier injection through reduction of its sensitivity to the PhiB inhomogeneity. A new method for extraction of PhiB from room temperature transfer characteristics is also presented.  相似文献   

19.
The authors study the degradation of MOSFET current-voltage (V-I) characteristics as a function of polysilicon gate concentration (Np ), oxide thickness (tox) and substrate impurity concentration (ND) using measured and modeled results. Experimentally it is found that for MOSFETs with thin gate oxide (tox≈70 Å) and high substrate concentration (ND ≈1.6×1017 cm-3) the reduction in the drain current IDS can be as large as 10% to 20% for devices with insufficiently doped polysilicon gate (5×1018 ⩽Np⩽1.6×1019 cm-3). Theoretically it is shown that the drain current degradation becomes more pronounced as Np decreases, tox decreases, or ND, increases. A modified Pao-Sah model that takes into account the polysilicon depletion effect and an accurate gate-field-dependent mobility model are used to compute I-V characteristics for various values of Np, tox, and ND. Good agreement between experimental and modeled results is observed over a wide range of devices  相似文献   

20.
A reverse short-channel effect on threshold voltage caused by the self-aligned silicide process in submicrometer MOSFETs is reported. A physical model of lateral channel dopant redistribution due to the salicide process is proposed. The injection of vacancies and lattice strain during TiSi2 formation causes defect-enhanced boron diffusion which results in a nonuniform lateral channel dopant redistribution and hence a threshold increase in short-channel devices. In addition to the small gate edge birds beak and the nonuniform oxidation-enhanced diffusion (OED) redistribution of channel dopant due to the polysilicon gate reoxidation, the self-aligned Ti silicide process can be major cause of the observed reverse short-channel effect in submicrometer MOSFET devices  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号