首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Arrays of ultrathin Pt/Co(0.5 nm)/Pt nano-platelets with lateral sizes ranging from 30 nm to 1 μm have been patterned by focused ion beam (FIB) lithography under a weak Ga(+) ion fluence. From polar magneto-optical Kerr microscopy it is demonstrated that nano-platelets are ferromagnetic with perpendicular anisotropy down to a size of 50 nm. The irradiation process creates a magnetically soft ring at the nano-platelet periphery in which domain nucleation is initiated at a low field. The magnetization reversal in nano-platelets can be interpreted using a confined droplet model. All of the results prove that ultimate FIB patterning is suitable for preparing discrete magnetic recording media or small magnetic memory elements and nano-devices.  相似文献   

2.
Higher areal density for magnetic recording is needed to provide larger storage capacities on harddisk drives. However, as the recording bit size of traditional magnetic recording materials (such as Co/Cr) approaches 10 nm, the magnetic direction of each recording bit would become unstable at room temperature due to thermal fluctuation. To solve this problem, efforts have been made using two methods: one method is to replace the disk media with new materials possessing higher magnetic anisotropy which would lead to better thermal stability; and the second one is to employ different configurations for the recording layer. FePt with patterned media configuration is a combination of these two methods. In this paper we review some novel and interesting methods of patterning FePt for magnetic recording, including thermal patterning, self-assembly patterning, and lithography patterning.  相似文献   

3.
Design of a manufacturable discrete track recording medium   总被引:3,自引:0,他引:3  
The potential benefits of patterning discrete tracks onto a disk for magnetic data storage have long been investigated. A practical process for manufacturing a cost-effective discrete track recording (DTR) medium has prevented such a disk from being introduced into a product. In this paper, a process utilizing nano-imprint lithography techniques to create a land and groove structure on the surface of a disk substrate will be described. Design considerations for the geometry of the structure, as well as of the magnetic write and read widths of the head, are discussed. Data showing the magnetic characteristics and recording performance of a DTR medium are also presented.  相似文献   

4.
Recording physics, design considerations, and fabrication of bit-patterned magnetic medium for next generation data storage systems is presented. (Co/Pd)$_N$ magnetic multilayers are evaluated as candidates for bit-patterned medium recording layer materials for their high and easily tunable magnetic anisotropy. The optimized patterned multilayers used in this study had coercivities in excess of 12–14 kOe. Bit patterning was accomplished using ion-beam proximity printing, a high-throughput direct write lithography where a large array of ion beamlets shaped by a stencil mask is used to write an arbitrary device pattern. It is found that the nature of magnetization reversal strongly depends on bit edge imperfections and is likely to contribute to switching field distribution.   相似文献   

5.
Yoshida S  Ono T  Esashi M 《Nanotechnology》2008,19(47):475302
A conductive polymer dot pattern has been fabricated as a patterned medium using diblock copolymer lithography (DCL) for scanning multiprobe data storage systems (SMDSSs). DCL can easily provide a higher dots pattern density than that obtained using electron beam lithography. For DCL, the microphase-separated structure of polystyrene-block-polymethylmethacrylate is utilized. Then, the closed dot pattern of polyaniline (PANI) with a center to center distance of adjacent dots of 30?nm is fabricated by DCL. Electrical modification experiments of the fabricated PANI dots are demonstrated using scanning probe microscopy (SPM). As a result, the conductivities of the modified dots are selectively changed by applying modification voltages with the tip of the SPM probe. Recording on the conductive polymer with 30?nm pitch at the minimum can be demonstrated, which corresponds to a recording density of ~700?Gbits?inch(-2). These results show that the conductive polymer patterned medium has the potential ability to achieve high-density recording for SMDSSs.  相似文献   

6.
A lithography technique that combines laser interference lithography (LIL) and photolithography, which can be a valuable technique for the low cost production of microscale and nanoscale hybrid mask molds, is proposed. LIL is a maskless process which allows the production of periodic nanoscale structures quickly, uniformly, and over large areas. A 257 nm wavelength Ar-Ion laser is utilized for the LIL process incorporating a Lloyd's mirror one beam inteferometer. By combining LIL with photolithography, the non-selective patterning limitation of LIL are explored and the design and development of a hybrid mask mold for nanoimprint lithography process, with uniform two-dimensional nanoscale patterns are presented. Polydimethylsiloxane is applied on the mold to fabricate a replica of the stamp. Through nanoimprint lithography using the manufactured replica, successful transfer of the patterns is achieved, and selective nanoscale patterning is confirmed with pattern sizes of around 180 nm and pattern aspect ratio of around 1.44:1.  相似文献   

7.
Sohn JS  Lee D  Cho E  Kim HS  Sul S  Lee BK  Lee M  Moon C  Park NC 《Nanotechnology》2011,22(3):035305
Discrete track magnetic recording media with a 60 nm track pitch and prewritten servo patterns were fabricated and tested for read/write performance, and a feasibility analysis of the embedded servo was performed. The fabrication process consisted of ultraviolet nanoimprint lithography (UV-NIL) and sequential ion beam etching on a conventional perpendicular magnetic recording medium. Magnetic patterns were written to the fabricated tracks at 700 kilo flux changes per inch (kFCI) using a spin stand and were read using magnetic force microscopy (MFM), with a resulting signal-to-noise ratio (SNR) of 12.15 dB. The servo pattern was also visualized with MFM. These results demonstrated the feasibility of writing to a 30 nm wide discrete data track and the workability of the embedded servo pattern.  相似文献   

8.
Most important aspect of nanotechnology applications in the information ultrahigh storage is the miniaturization of data carrier elements of the storage media with emphasis on the long-term stability. Proposed two-dimensional ultrahigh-density X-ray optical memory, named X-ROM, with long-term stability is an information carrier basically destined for digital data archiving. X-ROM is a semiconductor wafer, in which the high-reflectivity nanosized X-ray mirrors are embedded. Data are encoded due to certain positions of the mirrors. Ultrahigh-density data recording procedure can e.g., be performed via mask-less zone-plate-array lithography (ZPAL), spatial-phase-locked electron-beam lithography (SPLEBL), or focused ion-beam lithography (FIB). X-ROM manufactured by nanolithography technique is a write-once memory useful for terabit-scale memory applications, if the surface area of the smallest recording pits is less than 100 nm2. In this case the X-ROM surface-storage capacity of a square centimetre becomes by two orders of magnitude higher than the volumetric data density really achieved for three-dimensional optical data storage medium. Digital data read-out procedure from proposed X-ROM can e.g., be performed via glancing-angle incident X-ray micro beam (GIX) using the well-developed X-ray reflectometry technique. In presented theoretical paper the crystal-analyser operating like an image magnifier is added to the set-up of X-ROM data handling system for the purpose analogous to case of application the higher numerical aperture objective in optical data read-out system. We also propose the set-up of the X-ROM readout system based on more the one incident X-ray micro beam. Presented scheme of two-beam data handling system, which operates on two mutually perpendicular well-collimated monochromatic incident X-ray micro beams, essentially increases the reliability of the digital information read-out procedure. According the graphs of characteristic functions presented in paper, one may choose optimally the incident radiation wavelength, as well as the angle of incidence of X-ray micro beams, appropriate for proposed digital data read-out procedure.  相似文献   

9.
We have fabricated and measured single domain wall magnetoresistance devices with sub-20?nm gap widths using a novel combination of electron beam lithography and helium ion beam milling. The measurement wires and external profile of the spin valve are fabricated by electron beam lithography and lift-off. The critical bridge structure is created using helium ion beam milling, enabling the formation of a thinner gap (and so a narrower domain wall) than that which is possible with electron beam techniques alone. Four-point probe resistance measurements and scanning electron microscopy are used to characterize the milled structures and optimize the He ion dose. Successful operation of the device as a spin valve is demonstrated, with a 0.2% resistance change as the external magnetic field is cycled. The helium ion beam milling efficiency as extracted from electrical resistance measurements is 0.044?atoms/ion, about half the theoretical value. The gap in the device is limited to a maximum of 20?nm with this technique due to sub-surface swelling caused by injected ions which can induce catastrophic failure in the device. The fine patterning capabilities of the helium ion microscope milling technique indicate that sub-5?nm constriction widths could be possible.  相似文献   

10.
We fabricated bit-patterned media (BPM) at densities as high as 3.3 Tbit/in(2) using a process consisting of high-resolution electron-beam lithography followed directly by magnetic film deposition. By avoiding pattern transfer processes such as etching and liftoff that inherently reduce pattern fidelity, the resolution of the final pattern was kept close to that of the lithographic step. Magnetic force microscopy (MFM) showed magnetic isolation of the patterned bits at 1.9 Tbit/in(2), which was close to the resolution limit of the MFM. The method presented will enable studies on magnetic bits packed at ultra-high densities, and can be combined with other scalable patterning methods such as templated self-assembly and nanoimprint lithography for high-volume manufacturing.  相似文献   

11.
Understanding chemical transformations in polymethylmethacrylate (PMMA) during helium ion beam exposure will help researchers involved in nanofabrication, materials synthesis or transformation, patterning polyenes, developing phantoms for radiation therapy, and realizing carbonaceous quantum emitters. The level of conjugation in PMMA can be controlled using a helium ion beam to realize patterns that are suitable for positive tone lithography, polyenes, dye-like fluorescent materials, and polycyclic aromatic compounds with very similar properties to carbon dots. High-resolution dose studies employing Raman scattering and atomic force microscopy (AFM) reveal the conditions under which these very different conjugated carbonaceous materials form, their spatial distribution, and dissolution characteristics in common solvents.  相似文献   

12.
The semiconductor industry will soon be launching 32 nm complementary metal oxide semiconductor (CMOS) technology node using 193 nm lithography patterning technology to fabricate microprocessors with more than 2 billion transistors. To ensure the survival of Moore's law, alternative patterning techniques that offer advantages beyond conventional top‐down patterning are aggressively being explored. It is evident that most alternative patterning techniques may not offer compelling advantages to succeed conventional top‐down lithography for silicon integrated circuits, but alternative approaches may well indeed offer functional advantages in realising next‐generation information processing nanoarchitectures such as those based on cellular, bioinsipired, magnetic dot logic, and crossbar schemes. This paper highlights and evaluates some patterning methods from the Center on Functional Engineered Nano Architectonics in Los Angeles and discusses key benchmarking criteria with respect to CMOS scaling.  相似文献   

13.
14.
L Wang  HH Solak  Y Ekinci 《Nanotechnology》2012,23(30):305303
Limited beam spot size is a major limitation of interference lithography. This limits the area of patterning and reduces the pattern homogeneity. We describe a scanning exposure technique to circumvent this problem. We show the generation of uniform and seamless gratings with half-pitches down to 35 nm over an area of several mm(2) using EUV interference lithography. The presented technique offers a fast and cost-effective method of fabricating one- and two-dimensional periodic nanostructures with improved uniformity and increased patterning area.  相似文献   

15.
Kyoung S. Kim 《Thin solid films》2008,516(7):1489-1492
We investigated a new method to form the line-and-space patterns with a nanometer-scale using the conventional optical lithography technique and the metal deposition/liftoff process. The ashing of the negative photo resist defined by the conventional optical lithography technique results in the proper profiles including a high aspect ratio (i.e., height/width value of the patterns) for the formation of nanometer-scale structures. We demonstrated that the metal electrodes with the nanometer-scale gap of 20 nm or less can be easily obtained by the newly proposed method without employing the highly sophisticated lithography tools including an electron beam lithography.  相似文献   

16.
Electron beam lithography (EBL) patterning of poly(methylmethacrylate) (PMMA) is a versatile tool for defining molecular structures on the sub-10-nm scale. We demonstrate lithographic resolution to about 5 nm using a cold-development technique. Liftoff of sub-10-nm Au nanoparticles and metal lines proves that cold development completely clears the PMMA residue on the exposed areas. Molecular liftoff is performed to pattern DNA rafts with high fidelity at linewidths of about 100 nm. High-resolution EBL and molecular liftoff can be applied to pattern Creutz-Taube molecules on the scale of a few nanometers for quantum-dot cellular automata.  相似文献   

17.
Two-dimensional (2-D) and three-dimensional (3-D) diamond-like carbon (DLC) stamps for ultraviolet nanoimprint lithography were fabricated with two methods: namely, a DLC coating process, followed by focused ion beam lithography; and two-photon polymerization patterning, followed by nanoscale-thick DLC coating. We used focused ion beam lithography to fabricate 70 nm deep lines with a width of 100 nm, as well as 70 nm deep lines with a width of 150 nm, on 100 nm thick DLC layers coated on quartz substrates. We also used two-photon polymerization patterning and a DLC coating process to successfully fabricate 200 nm wide lines, as well as 3-D rings with a diameter of 1.35 microm and a height of 1.97 microm, and a 3-D cone with a bottom diameter of 2.88 microm and a height of 1.97 microm. The wafers were successfully printed on an UV-NIL using the DLC stamps without an anti-adhesive layer. The correlation between the dimensions of the stamp's features and the corresponding imprinted features was excellent.  相似文献   

18.
We demonstrate that a low energy focused electron beam can locally pattern graphene coated with a thin ice layer. The irradiated ice plays a crucial role in the process by providing activated species that locally remove graphene from a silicon dioxide substrate. After patterning the graphene, the ice resist is easily removed by sublimation to leave behind a clean surface with no further processing. More generally, our findings demonstrate that ice-assisted e-beam lithography can be used to pattern very thin materials deposited on substrate surfaces. The procedure is performed in situ in a modified scanning electron microscope. Desirable structures such as nanoribbons are created using the method. Defects in graphene from electrons backscattered from the bulk substrate are identified. They extend several microns from the e-beam writing location. We demonstrate that these defects can be greatly reduced and localized by using thinner substrates and/or gentle thermal annealing.  相似文献   

19.
Madaria AR  Yao M  Chi C  Huang N  Lin C  Li R  Povinelli ML  Dapkus PD  Zhou C 《Nano letters》2012,12(6):2839-2845
Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.  相似文献   

20.
A top‐down/bottom‐up approach is demonstrated by combining electron‐beam (e‐beam) lithography and a solvent annealing process. Micellar arrays of polystyrene‐block‐poly(4‐vinylpyridine) (PS‐b‐P4VP) with a high degree of lateral order can be produced on a surface where sectoring is defined by e‐beam patterning. The e‐beam is used to crosslink the block copolymer (BCP) film immediately after spin‐coating when the BCP is disordered or in a highly ordered solvent‐annealed film. Any patterns can be written into the BCP by crosslinking. Upon exposure to a preferential solvent for the minor component block followed by drying, cylindrical nanopores are generated within the nonexposed areas by a surface reconstruction process, while, in the exposed areas, the films remain unchanged. Nickel nanodot arrays can be placed over selected areas on a surface by thermal evaporation and lift‐off process.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号