首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
用SoC实现视频图形引擎功能的研究   总被引:1,自引:0,他引:1  
结合实际方案对目前国内研究热点的SoC设计进行一些讨论.主要对系统集成、算法与系统芯片结构、可测试性设计等方面进行一些相关探讨.采用基于Altera的SOPC系统级芯片XA10,实现图形引擎功能;利用SoC平台化设计,以达到快速进入SoC设计领域的目的;希望从应用角度入手,逐步深入SoC的IP集成特性和AMBA技术以及软硬件联合设计等.  相似文献   

2.
零翻转编码地址总线SoC的低功耗设计   总被引:3,自引:0,他引:3  
分析系统芯片(SoC)设计中大电容负载的地址总线低功耗设计方法;利用地址总线零翻转编码和解码技术,有效地减少SoC地址总线活动,降低SoC芯片和系统的功耗;同时,应用于实际的SoC设计中,验证它的功能和适用范围。  相似文献   

3.
在片上系统(system-on-chip,SoC)芯片中,由于各功能部件在频率、活跃度等方面存在差异,导致产热分布不均的问题比较突出,严重影响芯片的可靠性和使用寿命。针对这一问题,该文设计了一种面向 SoC 芯片的多区域温度控制系统。首先,通过片上总线技术进行分布式多区域温度采集,以获取 SoC 芯片的多区域温度信息。然后,设计了兼顾全局与局部温控的温度控制机制。该机制基于温度采集阶段的实时数据,通过协调时钟降频、中断以及脉冲宽度调制散热等方式进行局部或全局芯片温度管理与控制。同时,用户可以通过主控程序调整系统参数,使系统与各种温度控制场景兼容。该文在一种大规模众核 SoC 平台上进行了温度控制系统的测试。实验结果表明,该文提出的温度控制系统可有效减缓 SoC 工作时的芯片温度上升速度,并将芯片区域最高温度控制在用户所设定的极高温临界值 ±3 ℃ 范围内,表明该温度控制系统用于 SoC 芯片的温度控制是可行的。  相似文献   

4.
基于8051核进行系统芯片(SoC)的设计能力得到了很大提高,但同时带来了该类芯片特别是采用数模混合设计时的验证和应用仿真问题。本文利用通用8051仿真器,并根据一款以805l为内核系统芯片的验证和仿真要求,提出一种进行SoC芯片模拟验证和仿真的方案;利用该方案,实现对基于805l内核数模混合SoC芯片的模拟验证和仿真。  相似文献   

5.
刘玲  刘军 《电脑与信息技术》2010,18(1):35-37,60
很多32位SoC芯片没有在片上集成以太网控制器,需要通过外围芯片扩展以太网控制功能。嵌入式电源远程监控系统是利用RTL8019AS为32位SoC芯片SEP3203扩展网络功能实现其网络化。包括SEP3203与P-TL8019AS的接口电路设计,以及结合驱动的工作机制、基本格式等,对设计在uClinux下的RTL8019AS芯片驱动程序的诸多要点进行了详细的分析探讨,给出了部分源代码,为SoC芯片的嵌入式系统的网络设备开发提供了参考。  相似文献   

6.
郑伟  李东晓 《计算机工程》2006,32(15):221-223
总线是观测数据流行为从而进行媒体处理SoC芯片系统级功耗分析的较佳研究对象。Wishbone总线具有简单、灵活、免费等特点,是具有较强竞争力的系统芯片总线(SoC Bus)标准之一。在媒体处理SoC芯片的Wishbone总线控制器中增加具有功耗分析功能的专用模块,可以在不改变正常集成电路EDA设计流程的情况下较好地完成系统层次的功耗分析任务,在低功耗设计中具有广泛的应用前景。  相似文献   

7.
SoC芯片设计方法及标准化   总被引:13,自引:2,他引:13  
随着集成电路技术的迅速发展,集成电路已进入系统级芯片(SoC)设计时代,SoC芯片的集成度越来越高,单芯片上的集成度和操作频率越来越高,投放市场的时间要求越来越短,为了实现这样的SoC芯片,设计越来越依赖IP模块的重用,SoC复杂性的提高和IP模块的多样化,SoC芯片中多个厂商不同IP模块的使用,导致了IP模块可重用的许多问题,IP模块和片上总线,以及EDA工具接口的标准化,是解决IP模块标准化的很好途径,另一方面,SoC芯片设计的复杂性和嵌入软件所占比重的增加,要求更高层次的系统抽象和软硬件的协同设计,使用更流地的设计进行系统的硬件设计和更有效的系统设计方法,描述了SoC芯片设计中的IP模块可重用技术以及所存在的问题,介绍了SoC IP模块和片上总线结构的标准化,讨论了基于C/C++扩展类库的系统级描述语言和基于平台的SoC设计方法。  相似文献   

8.
本文基于UVM验证方法学对自研高性能安全存储SoC芯片系统中SATA通路进行验证,文中对高性能安全存储SoC芯片架构及SATA通路系统工作原理进行说明,以SATA DMA数据传输方式为例介绍了SATA协议链路通信建立及数据传输过程.搭建UVM系统验证平台,文中对SATA协议进行分析,设计规划系统层面测试用例,编写加载至系统中运行的C固件测试程序,实现对系统应用层面关注的PIO、DMA、NCQ等SATA命令方式数据传输通路的验证.结合具体波形分析,结果表明, SATA通路相关集成设计是合理、满足芯片对SATA数据通路应用需求的,实现了对高性能安全存储SoC芯片系统SATA通路的验证.  相似文献   

9.
设计了一种适用于多核SoC系统的低功耗上电复位电路,并根据冷复位、暖复位、看门狗复位、软件复位等复位源的类型设计了一种多核SoC系统的复位管理电路。复位管理电路提供了多核SoC系统处理器、片上总线及片上各个 IP模块的复位信号,并都进行了相应的异步复位、同步释放处理。结果表明,设计的多核 SoC系统的复位管理电路能够正确控制SoC芯片复位及复位释放后的多核SoC系统正常运行。  相似文献   

10.
时序验证是SoC片上系统设计中的关键问题。本文在嵌入MIPS内核的HDTV解码SoC芯片设计过程中,采用MIPS的VMC仿真模型对SoC系统进行了基于门级网表文件的软硬件协同的动态时序仿真。在仿真环境下,通过加载MIPS的Boot Loader程序对芯片的功能和时序进行有效的验证。在此基础上,用门级仿真过程中生成的VCD(Value Change Dump)文件对完成物理设计的SoC芯片的功耗进行了有效的估计和分析。  相似文献   

11.
动态时钟配置下的SoC低功耗管理   总被引:1,自引:0,他引:1  
随着芯片集成度的提高,对一些功能复杂的系统芯片功耗的管理,已经引起大家越来越多的重视,如何控制好SoC的功耗将成为芯片能否成功的重要因素。本文提出一种通过动态管理时钟的策略,达到降低整个SoC芯片功耗的目的;同时,分析动态管理时钟方案中可能会出现的一些问题,并给出解决方案。  相似文献   

12.
对于现有软核处理器存在使用灵活性差、功耗高等问题,提出基于RISC-V架构的电力专用片上系统。采用RISC-V核作为标量处理器,按照系统功能设计、顶层结构设计、IP模块设计、功能仿真验证、综合布线、芯片制造等设计流程设计SoC芯片。实验结果证明,所提研究的SoC芯片仿真运行频率可达到100 MHz,且能灵活稳定运行,功耗较低,性能较高,能够对电力系统中的突发故障进行实时的监测和预警,可广泛应用于智能电网系统和智能家电的控制。  相似文献   

13.
片上系统(SoC)是芯片设计的发展趋势,现场可编程门阵列(FPGA)验证是芯片设计中最重要的环节之一。基于Altera公司的FPGA和静态时序分析工具TimeQuest的应用,提出了一种使用两个或多个FPGA器件验证复杂SoC的方法,分析了使用多个FPGA器件进行功能验证对于SoC设计的重要性,介绍了FPGA时序约束的具体设置方式;并把这种方法应用在实例中,测试结果显示通过使用这种方式可以快速有效的实现对大规模、复杂时序SoC的功能验证。  相似文献   

14.
采用JTAG结构实现SoC芯片的片上仿真器及接口   总被引:2,自引:1,他引:2  
片上仿真(OnChipEmulation)是系统级芯片(System-on-a-Chip,SoC)进行调试与诊断的新型方法。文章讨论了一种采用JTAG结构实现SoC芯片片上仿真器的方法。此方法已应用于以CCORE为核心的SoC设计平台上。  相似文献   

15.
超大规模集成电路和超深亚微米技术的快速发展,促使了系统芯片(System on Chip,SoC)的产生,同时在SoC中也集成了越来越多的嵌入式存储器,因此嵌入式存储器对SoC芯片的整体性能有非常重要的影响;文章针对SoC中的嵌入式存储器的可测试性设计展开研究;文章基于IEEE 1500标准针对DRAM和SRAM设计了具有兼容性的存储器的测试壳结构,并结合BIST控制器,在Quar-tusⅡ平台上,采用硬件描述语言对测试壳在不同测试指令下的有效性和灵活性进行验证,结果表明文章所设计的测试壳结构达到了预期的要求。  相似文献   

16.
介绍了约束设置与逻辑综合在SoC设计中的应用,并以一款SoC芯片ZSU32的设计为例,详细讨论了系统芯片的约束设置与逻辑综合策略。  相似文献   

17.
介绍了一款北斗/GPS双模导航芯片中SoC子系统的设计方案.该子系统包括晶心公司设计的N10处理器、FPU协处理器,还包括 UART、I2C、SPI、GPIO 等基本外设.该 SoC子系统承载着软件的运行,并实现了处理器与外界的通信能力.根据上层软件的需求,该SoC子系统没有例化内存管理单元、缓存、外部中断管理单元等模块.该 SoC子系统分别例化了程序和数据SRAM,可以使处理器更快速地进行取指与访存.这些设计决策简化了该 SoC 子系统的设计,同时提高了处理器的运行速度,降低了芯片的功耗和面积.  相似文献   

18.
超深亚微米工艺和基于可复用嵌入式IP模块的系统级芯片(SoC)设计方法使测试面临新的挑战,需要研究开发新的测试方法和策略.介绍了可测性设计技术常用的几种方法,从芯核级综述了数字逻辑模块、模拟电路、内存、处理器、第三方IP核等的测试问题,并对SoC可测性设计策略进行了探讨,最后展望了SoC测试未来的发展方向.  相似文献   

19.
一种基于层次平台SoC设计中的软硬件划分方法   总被引:1,自引:1,他引:0       下载免费PDF全文
软硬件划分是SoC设计中的一个关键问题,合理的划分结果对最终生成的芯片在成本、性能、可扩展性等方面有重要影响。提出了在基于层次平台的SoC设计中,采用遗传算法进行软硬件划分的方法,并通过实验验证了其在SoC设计中的可行性。  相似文献   

20.
测试验证是SoC设计过程中的重要步骤,针对穿戴式体域网基带SoC在验证中的测试向量复杂、射频可靠性要求高、结果多样化等挑战,设计并实现了体域网基带测试验证平台。在硬件设计上,采用高集成度FPGA(Altera Cyclone Ⅲ)、射频芯片(MAX2837)和混合信号前端芯片(MAX19712),提高了系统的可靠性;在软件上,设计了体域网数据流状态机,对体域网基带自动加载多种速率的数据流,验证其对多种健康信息的服务质量(QoS)。该验证平台已经针对自主开发的IEEE802.15.6基带进行了大量的测试验证工作,主要指标满足体域网基带芯片的验证需求,同时也可以扩展应用到其他近距离无线通信芯片的设计验证应用中。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号