首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The NH3-plasma passivation has been performed on polycrystalline silicon (poly-Si) thin-film transistors (TFT's), It is found that the TFT's after the NH3-plasma passivation achieve better device performance, including the off-current below 0.1 pA/μm and the on/off current ratio higher than 108, and also better hot-carrier reliability than the H2-plasma devices. Based on optical emission spectroscopy (OES) and secondary ion mass spectroscopy (SIMS) analysis, these improvements were attributed to not only the hydrogen passivation of the defect states, but also the nitrogen pile-up at SiO2/poly-Si interface and the strong Si-N bond formation to terminate the dangling bonds at the grain boundaries of the polysilicon films. Furthermore, the gate-oxide leakage current significantly decreases and the oxide breakdown voltage slightly increases after applying NH3-plasma treatment. This novel process is of potential use for the fabrication of TFT/LCD's and TFT/SRAM's  相似文献   

2.
The low pressure NH3-annealing and the H2 plasma hydrogenation were jointly used to improve the characteristics of polysilicon thin-film transistors (TFT's). It was found that the TFT's after applying the above treatments achieved better subthreshold swings, threshold voltages, field effect mobilities, off currents, and reliability. It is believed that the improvement was due to the gate oxynitride formation and the H2-plasma had a better passivation effect on the oxynitride  相似文献   

3.
The fluorine implantation on polysilicon was found to improve the characteristics of polysilicon thin-film transistors (TFT's). The fluorine passivates the trap states within the polysilicon channel, as compared with the H2-plasma passivation. The fluorine implantation passivates more uniformly both the band tail-states and midgap deep-state, while the H2-plasma treatment is more effective to passivate deep states than tail states. A fluorine-implanted device can be further improved its performance if an H2-plasma treatment is applied. In contrast to the H2 -plasma passivation, the fluorine passivation improves the device hot-carrier immunity. Combining the fluorine passivation and H2 -plasma passivation, a high performance TFT with a high hot-carrier immunity can be obtained  相似文献   

4.
The NH3 plasma passivation has been performed for the first time on the polycrystalline silicon (poly-Si) thin-film transistors (TFT's). It is found that the TFT's after the NH3 plasma passivation achieve better device performances, including the off-current below 0.1 pA/μm and the on/off current ratio higher than 108, and also better hot-carrier reliability as well as thermal stability than the H2-plasma devices. These improvements were attributed to not only the hydrogen passivation of the grain-boundary dangling bonds, but also the nitrogen pile-up at SiO2/poly-Si interface and the strong Si-N bond formation to terminate the dangling bonds at the grain boundaries of the polysilicon films  相似文献   

5.
The electrical characteristics of top-gate thin-film transistors (TFT's) fabricated on the nitrogen-implanted polysilicon of the doses ranging from 2×1012-2×1014 ions/cm2 were investigated in this work. The experimental results showed that nitrogen implanted into polysilicon followed by an 850°C 1 h annealing step had some passivation effect and this effect was much enhanced by a following H2-plasma treatment. The threshold voltages, subthreshold swings, ON-OFF current ratios, and field effect mobilities of both n-channel and p-channel TFT's were all improved. Moreover, the hot-carrier reliability was also improved. A donor effect of the nitrogen in polysilicon was also found which affected the overall passivation effect on the p-channel TFT's  相似文献   

6.
This letter reports on a novel reoxidation technique for SiO2 /Si3N4 (ON) stacked films by using N2 O as oxidant. Effect of in-situ rapid thermal N2O reoxidation (RTNO) on the electrical characteristics of thin ON stacked films are studied and compared with those of in-situ rapid thermal. O 2 reoxidation (RTO). Prior to reoxidation, the Si3N4 film was deposited by rapid thermal chemical vapor deposition (RT-CVD) using SiH4 and NH3. Results show that RTNO of the Si3N4 films significantly improves electrical characteristics of ON stacked films in terms of lower leakage current, suppressed charge trapping, reduced defect density and improved time-dependent-dielectric-breakdown (TDDB), as compared to RTO of the Si3N4 films  相似文献   

7.
Polysilicon thin-film transistors (poly-Si TFT's) with thin-gate oxide grown by electron cyclotron resonance (ECR) nitrous oxide (N2 O)-plasma oxidation is presented. ECR N2O-plasma oxidation successfully incorporates nitrogen atoms at the SiO2/poly-Si interface, consequently forms a nitrogen-rich layer with Si≡N bonds at a binding energy of 397.8 eV. ECR N2 O-plasma oxide grown on poly-Si films shows higher breakdown fields than thermal oxide. The fabricated poly-Si TFT's with N2 O-plasma oxide show better performance than those with ECR O2 -plasma oxide, which results not only from the smooth interface but also oxygen- and nitrogen-plasma passivation  相似文献   

8.
Effects of various surface pretreatments of polysilicon electrode prior to Si3N4 deposition on leakage current, time-dependent dielectric breakdown (TDDB) and charge trapping characteristics of thin Si3N4 films deposited on rugged and smooth poly-Si are investigated. Surface pretreatments consist of different combinations of HF clean, rapid thermal H2 -Ar clean, and rapid thermal NH3-nitridation (RTN) and are intended to modify the surface of bottom poly-Si electrode. Results show that RTN treatments lead to lower leakage current, reduced charge trapping, and superior TDDB characteristics as compared to rapid thermal H2-Ar clean  相似文献   

9.
The effects of H2-plasma followed by O2-plasma treatment on n-channel polysilicon thin-film transistors (TFTs) were investigated. It was found that the H2-O2-plasma treatment is more effective in passivating the trap states of polysilicon films than the H2-plasma or O2-plasma treatment only. Hence, it is more effective in improving the device performance with regard to subthreshold swing, carrier mobility, and the current ON/OFF ratio. It is also found that thermal annealing of plasma-treated devices increases the deep states but has no effect on the tail states of the devices  相似文献   

10.
The effects of postdeposition anneal of chemical vapor deposited silicon nitride are studied. The Si3N4 films were in situ annealed in either H2(2%)/O2 at 950°C or N2O at 950°C in a rapid thermal oxidation system. It is found that an interfacial oxide was grown at the Si3N4/Si interface by both postdeposition anneal conditions. This was confirmed by thickness measurement and X-ray photoelectronic spectroscopy (XPS) analysis. The devices with H2 (2%)/O2 anneal exhibit a lower gate leakage current and improved reliability compared to that of N2O anneal. This improvement is attributed to a greater efficiency of generating atomic oxygen in the presence of a small amount of hydrogen, leading to the elimination of structural defects in the as-deposited Si3N 4 film by the atomic oxygen. Good drivability is also demonstrated on a 0.12 μm n-MOSFET device  相似文献   

11.
The electrical and optical properties of the hydrogenated amorphous silicon (a-Si:H) films deposited by inductively-coupled plasma (ICP) chemical vapor deposition (CVD) with a variation of H2 flow rate have been studied. The photosensitivity of a-Si:H is ~107 when the H2/SiH4 ratio is between 3 and 8. With increasing H2/SiH4, the SiH2 mode infrared absorption has a minimum at a H2/SiH4 ratio of 8. Coplanar a-Si:H thin-film transistors (TFT's) were fabricated using a triple layer of thin a-Si:H, silicon-nitride, and a-Si:H deposited by ICP-CVD using ion doping and low resistivity Ni silicide. After patterning the thin a-Si:H/silicon-nitride layers on the channel region, the gate and source/drain regions were ion-doped and then heated at 230°C to form Ni silicide layers. The low resistive Ni silicide formed on the a-Si:H reduces the offset length between gate and source/drain, leads to a coplanar a-Si:H TFT. The TFT exhibited a field effect mobility of 0.6 cm2/Vs and a threshold voltage of 2.3 V at the H2/SiH4 ratio of 8. The effect of H2 dilution in SiH4 on the coplanar a-Si:H TFT performance has been investigated. We found that the performance of the TFT is the best when the SiH2 mode density in a-Si:H is the minimum. The coplanar TFT is very suitable for large-area, high density TFT displays because of its low parasitic capacitance between gate and source/drain contacts  相似文献   

12.
High quality, ultrathin (<30 Å) SiO2/Si3 N4 (ON) stacked film capacitors have been fabricated by in situ rapid-thermal multiprocessing. Si3N4 film was deposited on the RTN-treated poly-Si by rapid-thermal chemical vapor deposition (RTCVD) using SiH4 and NH3, followed by in situ low pressure rapid-thermal reoxidation in N2O (LRTNO) or in O2 (LRTO) ambient. While the use of low pressure reoxidation suppresses severe oxidation of ultrathin Si3N4 film, the use of N2O-reoxidation significantly improves the quality of ON stacked film, resulting in ultrathin ON stacked film capacitors with excellent electrical properties and reliability  相似文献   

13.
The effect of surface roughness of Si3N4 films on time-dependent dielectric breakdown (TDDB) characteristics of SiO2/Si3N4/SiO2 (ONO) stacked films was investigated. The surface roughness of Si3N 4 films-was found to become higher with increasing deposition temperature and to cause the degradation of TDDB characteristics of ONO films in DRAMs. A local thinning of ONO films, evaluated from the TDDB characteristics, agreed with the surface roughness measured by atomic force microscopy (AFM) and cross-sectional transmission electron microscopy (XTEM). Dependence of time to breakdown of ONO films on the deposition conditions was interpreted by electric field intensification due to the surface roughness of Si3N4 films  相似文献   

14.
通过旋涂法制备垂直型的g-C3N4/p++-Si异质结器件,研究该异质结器件的光电特性,探索其在可见和紫外光区的光电响应规律。该器件在零偏压和反偏压下均有明显的光电响应。在-1 V偏压下器件响应度为1.52 mA/W(激光波长532 nm,光强3.82 mW/mm2),响应时间约为0.94 s,光电流开关比为1.03×103。相比于g-C3N4器件,g-C3N4/p++-Si异质结器件具有更高的光电灵敏度。经过分析,其光电性能的提高是由于g-C3N4与Si之间形成了高质量的内建电场,该内建电场有效分离了g-C3N4中具有高结合能的激子,从而获得高的光生电流。特别是该器件在零偏压条件下的光电流开关比仍然可达103以上,响应速度为0.5 s,表明g-C3N4/p++-Si异质结器件在自供电低噪声光电探测器领域具有很好的应用前景。  相似文献   

15.
This letter demonstrates a high-voltage, high-current, and low-leakage-current GaN/AlGaN power HEMT with HfO2 as the gate dielectric and passivation layer. The device is measured up to 600 V, and the maximum on-state drain current is higher than 5.5 A. Performance of small devices with HfO2 and Si3N4 dielectrics is compared. The electric strength of gate dielectrics is measured for both HfO2 and Si3N4. Devices with HfO2 show better uniformity and lower leakage current than Si3N4 passivated devices. The 5.5-A HfO2 devices demonstrate very low gate (41 nA/mm) and drain (430 nA/mm) leakage-current density and low on-resistance (6.2 Omegamiddotmm or 2.5 mOmegamiddotcm2).  相似文献   

16.
Sulfide passivated GaAs MISFET's with the gate insulator of photo-CVD grown P3N5 films have been successfully fabricated. The device shows the drain current instability less than 22% for the period of 1.0 s ~1.0×104 s, due to excellent properties of sulfide treated P3N5/GaAs interface. The effective electron mobility and extrinsic transconductance of the device are about 1300 cm2/V·sec and 1.33 mS, respectively, at room temperature. To estimate the effects of sulfide treatment on P3N5/GaAs interfacial properties, GaAs-MIS diodes are also fabricated  相似文献   

17.
Conventionally directionally solidified (DS) and silicon film (SF) polycrystalline silicon solar cells are fabricated using gettering and low temperature plasma enhanced chemical vapor deposition (PECVD) passivation. Thin layer (~10 nm) of PECVD SiO2 is used to passivate the emitter of the solar cell, while direct hydrogen rf plasma and PECVD silicon nitride (Si3N4) are implemented to provide emitter and bulk passivation. It is found in this work that hydrogen rf plasma can significantly improve the solar cell blue and long wavelength responses when it is performed through a thin layer of PECVD Si3N4. High efficiency DS and SF polycrystalline silicon solar cells have been achieved using a simple solar cell process with uniform emitter, Al/POCl3 gettering, hydrogen rf plasma/PECVD Si3N4 and PECVD SiO2 passivation. On the other hand, a comprehensive experimental study of the characteristics of the PECVD Si3N4 layer and its role in improving the efficiency of polycrystalline silicon solar cells is carried out in this paper. For the polycrystalline silicon used in this investigation, it is found that the PECVD Si3N4 layer doesn't provide a sufficient cap for the out diffusion of hydrogen at temperatures higher than 500°C. Low temperature (⩽400°C) annealing of the PECVD Si3N 4 provides efficient hydrogen bulk passivation, while higher temperature annealing relaxes the deposition induced stress and improves mainly the short wavelength (blue) response of the solar cells  相似文献   

18.
采用柠檬酸作为pH调节剂和分散剂,针对CeO2磨料水溶液的分散性差以及浅沟槽隔离(STI)化学机械抛光(CMP)过程中正硅酸乙酯(TEOS)/氮化硅(Si3N4)的去除速率选择性难以控制的问题进行了研究。分散实验结果表明,由于柠檬酸可以结合在CeO2颗粒表面从而极大提高了CeO2磨料的空间位阻,CeO2溶液的分散稳定性得到提升,因此,与酒石酸、硝酸和磷酸相比,在CeO2溶液中使用柠檬酸作为pH调节剂,可以使CeO2磨料具有更小的粒径和较低的分散度以及使CeO2溶液具有较高绝对值的Zeta电位。抛光实验结果表明,柠檬酸可以极大抑制Si3N4的去除速率,且对TEOS的去除速率影响较小,因此,使用柠檬酸作为pH调节剂和分散剂能实现TEOS/Si3N4的高去除速率选择比。同时抛光后TEOS和Si3N4薄膜具有良好的表面形貌和低的表面粗糙度。  相似文献   

19.
The characteristics of SF6/He plasmas which are used to etch Si3N4 have been examined with experimental design and modeled empirically by response-surface methodology using a Lam Research Autoetch 480 single-wafer system. The effects of variations of process gas flow rate (20-380 sccm), reactor pressure (300-900 mtorr). RF power (50-450 W at 13.56 MHz), and interelectrode spacing (8-25 mm) on the etch rates of LPCVD (low-pressure chemical vapor deposition) Si3N4, thermal SiO2, and photoresist were examined at 22±2°C. Whereas the etch rate of photoresist increases with interelectrode spacing between 8 and 19 mm and then declines between 19 and 25 mm, the etch rate of Si3N 4 increases smoothly from 8 to 25 mm, while the etch rate of thermal SiO2 shows no dependence on spacing between 8 and 25 mm. The etch rates of all three films decrease with increasing reactor pressure. Contour plots of the response surfaces for etch rate and etch uniformity of Si3N4 as a function of spacing and flow rate at constant RF power (250 W) display complex behavior at fixed reactor pressures. A satisfactory balance of etch rate and etch uniformity for Si3N4 is predicted at low reactor pressure (~300 mtorr), large electrode spacing (12-25 mm), and moderate process gas flow rates (20-250 sccm)  相似文献   

20.
Boron ions (11B+ of 3·7 to 7·4 × 1011/cm2 were implanted at 60–120 keV into the channel region of p-channel MNOS double layer insulated gate field effect transistors through 920–940 Å of SiO2 and various thicknesses (300–1800 Å) of Si3N4 deposited on SiO2. Subsequent annealing was performed in a nitrogen atmosphere at 1000°C for 30 min. Acceleration energy, implant dose and Si3N4 thickness dependences of the shift of the threshold voltage showed good agreement with the calculated results based on Ishiwara and Furukawa's theory for distribution of implanted atoms in the double layered substrate, using the projected ranges and standard deviations larger than LSS predictions by the factor of 1·2 for SiO2 and 1·3 for Si3N4, respectively. The results on the gain terms and the breakdown voltages were qualitatively the same as those of 11B+-implanted p-channel MOS transistors.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号