首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
In this work x-cut Lithium Niobate crystals were implanted with 0.5 MeV O ions (nuclear stopping regime), 5 MeV O ions (sub-threshold electronic stopping regime) and 12.5 MeV Ti ions (ion track regime) at the fluences required for the formation of a surface fully disordered layer. The damage depth profiles were determined by RBS-channeling. Wet etching was performed at room temperature in 50% HF:H2O solution. The data indicated an exponential dependence of the etching rate on the damage concentration. Independently of the damage regime, once random level in the RBS-channeling spectra was attained we measured the same etching rate (50-100 nm/s) and the same volume expansion (∼10%) in all samples. These results indicate that the fully disordered layers obtained by electronic damage accumulation have the same chemical properties of those obtained by conventional nuclear damage accumulation and therefore they can be defined “amorphous”. The impressive etching selectivity of ion implanted regions makes this process suitable for sub-micro machining of Lithium Niobate.  相似文献   

2.
The charge resolution (δZ) for heavy ions (nuclear charge: Z < 40) of 0.1-1 GeV/n energy in CR-39 plastic nuclear track detector (PNTD) and its dependence on etching time, and on projectile Z and energy were investigated and optimized as part of an effort to make precise measurements of projectile charge-changing cross sections. Two types of CR-39 PNTD, HARZLAS TD-1 and BARYOTRAK, were exposed to heavy ion beams with seven values of Z behind thick targets to produce projectile fragments. Following chemical etching (7 N NaOH at 70 °C) for varying etch times, δZ of the projectiles was determined for each detector type. A strong dependence of δZ on the amount of bulk etch (B) was seen. It was also observed that δZ can be remarkably improved with longer etching time as a function of B−1/2, in accordance with the trend seen in other types of track detector such as glass nuclear track detector. However, for B ? 60 μm (30 h etching), saturation occurs and there is no further improvement in δZ. Analysis of the correlations between projectile Z, energy, detector response, and fluctuation of the response make it possible to develop a model to predict the δZ for projectiles of given Z and energy. The predicted and measured values of δZ show good agreement within 10%. We conclude that 4 ? Z ? 30 at intermediate energy can be identified with good δZ in these detectors. The predictive model will be used in designing future cross section measurement experiments.  相似文献   

3.
Low temperature silicon dioxide layers (LTO), deposited on crystalline silicon substrates, and thermally densified at 750 °C for 90 min or 900 °C for 30 min, jointly with thermally grown silicon dioxide layers, were irradiated with low fluence 11 MeV Ti ions. A selective chemical etch of the latent tracks generated by the passage of swift ions was performed by wet or vapour HF solution. The wet process produced conically shaped holes, while the vapour procedure generated almost cylindrical nanopores. In both cases thermal SiO2 showed a lower track etching velocity Vt, but with increasing the densification temperature of the LTO samples, the Vt differences reduced. LTO proved to be suitable for wet and vapour ion track formation, and, as expected, for higher densification temperatures, its etching behaviour approached that of thermal silicon dioxide.  相似文献   

4.
The thickness of a CR-39 detector is determined using an energy dispersive X-ray fluorescence (EDXRF) method of analysis. The method is based on exciting a suitable target and measuring the intensity of its fluorescence X-ray lines passing through the CR-39 sample in a fixed geometry. By properly selecting the target material, the method succeeds in assessing the thickness change of CR-39 detectors etched for different time intervals. The bulk etch rate (Vb) may thus be obtained, which is an important parameter for any solid state nuclear track detector. Application of the EDXRF method yielded a value of Vb = (2.01 ± 0.04) μm h−1 for etching in a 6 N NaOH solution at 75 °C. This value agrees with the bulk etch rate of (1.90 ± 0.03) μm h−1, obtained by the conventional mass-change method.  相似文献   

5.
The colorless LR 115 solid-state nuclear track detector (SSNTD) (from DOSIRAD) is based on cellulose nitrate and was first studied in view of its applicability in radiobiological experiments with alpha particles. In this paper, the bulk etch characteristics were studied. We first showed that the shape of the alpha-particle tracks are irregular with blurred contours under the optical microscope. This has made measurements of track diameters very difficult. The phenomenon was explained in terms of the roughness of the detectors. As a result, the common method used to determine the bulk etch rates through measurements of opening diameters of tracks is not valid. We then proposed the surface profilometry method for determination of the removed active-layer thickness during etching. The bulk etch rates with 2.5 N aqueous solution of NaOH as the etchant, with and without magnetic stirring were determined for etching temperatures of 40, 50 and 60 °C. The data supported the Arrhenius type equation. The corresponding activation energies were determined. Finally, relationships were derived between the residual active-layer thickness and the infrared transmittances at different wave numbers. The infrared transmittances were found useful in revealing the active-layer thickness of the colorless LR 115 SSNTD, the correlations being described by quadratic relationships.  相似文献   

6.
The main relation between efficiency of CR-39 nuclear track detector from different produced companies, critical angle for track revelation (θC) and bulk etch rate (VB) have been stayed.Computer program TRACK_TEST was used for calculating track parameters and plotting profiles for etch pits in nuclear track materials.The results showed that for any application of CR-39 detector should be calibrated before used it. The detectors older than 3 years seemed to show odd behaviors of VB with detector efficiency and the critical angle (θC).For age = 3 years the efficiency decreases exponentially for different alpha particle energy, and the bulk etch rate increases with decreasing age.This behavior may be important in applications of this detector; for example, the calibration factor for radon measurements should be established by taking into account the age of the detector.  相似文献   

7.
Chemical track etching and the growth of nanochannels in ion-irradiated polycarbonate foils were investigated by loss of weight measurements and IR-spectroscopy. The data provided by both methods are in good agreement and allow us to shed light on the early stage of pore formation including times where the breakthrough of the pores has not yet occurred. Clear evidence is shown that the pore growth as a function of etching time depends on the irradiation fluence. For fixed etching parameters, foils containing 7 × 109 tracks/cm2 exhibit much smaller pores than samples with 2 × 108 tracks/cm2. This effect is independent of the etching temperature and appears for irradiations with Pb ions as well as for Ca-ion tracks sensitized by UV exposure. Model calculations for different etching times and fluences show that the data for low track densities can be fitted quite well by describing the radial etching rate by the track etch rate changing into the bulk etch rate with a Gaussian-shaped transition.  相似文献   

8.
Alpha-particle radiobiological experiments involve irradiating cells with alpha particles and require accurate positions where the alpha particles hit the cells. In the present work, we prepared thin CR-39 detectors from commercially available CR-39 SSNTDs with a thickness of 100 μm by etching them in 1 N NaOH/ethanol at 40 °C to below 20 μm. The desired final thickness was achieved within ∼8 h. Such etching conditions can provide relatively small roughness of the detector as revealed by atomic force microscope, and thus provide transparent detectors for radiobiological experiments. UV radiation was employed to shorten track formation time on these thin CR-39 detectors. After exposure to UV light (UVA + B radiation) for 2-3 h with doses from 259 to 389 W/cm2, 5 MeV alpha-particle tracks can be seen to develop on these CR-39 detectors clearly under the optical microscope within 2 h in 14 N KOH at 37 °C. As an example for practical use, custom-made petri dishes, with a hole drilled at the bottom and covered with a thin CR-39 detector, were used for culturing HeLa cells. The feasibility of using these thin CR-39 detectors is demonstrated by taking photographs of the cells and alpha-particle tracks together under the optical microscope, which can allow the hit positions on the cells by the alpha particles to be determined accurately.  相似文献   

9.
Recently, Matiullah et al. described the use of NaOH/ethanol as an etchant for the CR-39 detector, and have determined the corresponding bulk and track etch properties from the track diameter method. In the present work, the bulk and track etch properties of CR-39 in NaOH/ethanol were derived from direct measurements. The bulk etch rate has been found to increase with the molarity of NaOH/ethanol, reach a maximum at ∼2.5 N and start to drop beyond 3 N. The bulk etch rate also increases with stirring. These phenomena can be explained by the insulation of the detector from the etchant by the etched products. Regarding the track etch, we have found a surprising result that the lengths of (pre-etched) tracks are actually shortened when the tracks are etched in NaOH/ethanol. Generally speaking, the remaining track depths obtained with stirring are longer than those for no stirring. The shortening of the tracks can be explained by the insulation of the pre-etched track wall from the etchant with the etched products.  相似文献   

10.
Changes in the diameters and depths of pores were studied in the process of etching polyethyleneterephthalate (PET) films irradiated by Ar ions having an energy of 1 MeV/n. Information about the pore diameters and lengths was obtained with JSM-840 and TEM-125 electron microscopes. The solutions of NaOH (0.5 mol/dm3 and 2 mol/dm3) were used as etchants. Etching was performed at 55 °C and 70 °C. Two methods of sensitization were used: the first one by UV illumination and treatment in dimethylformamide (DMF), the second method just by UV illumination. It was found that the diameters and the depths of pores are larger in films treated according to the first sensitization method. Etching duration (breakthrough time), which leads to through-going pores of the minimal radius, was established. After sensitization according to the first method the track etch rate grows quicker than the transverse etch rate. This gives a possibility to obtain through pores with diameters ranging from 50 nm to several micrometers.  相似文献   

11.
We investigated how pretreatment and high-LET beam irradiation affected the ion-track dissolution rate in poly(vinylidene fluoride) (PVDF) films by SEM observations and conductometric analysis in order to develop the preparation methodology of nano-sized ion-track membranes. PVDF thin films irradiated with four types of ion beams were exposed to a 9 mol/dm3 KOH aqueous solution after their storage in air at 120 °C. This heating treatment was found to enhance the etch rate in the latent track, both in the inner core and outer halo regions, without changing that in the bulk, probably due to the formation of parasitic oxidation products facilitating the introduction of the etching agent to improve the etchability. Additionally, the irradiation of heavier higher-LET ions, causing each track to more activated sites (like radicals), was preferable for achieving effective etching.  相似文献   

12.
The track damage created in LiF crystals by swift U, Xe and Kr ions with a specific energy of 11.1 MeV/u was studied using dislocation mobility measurements, track etching, SEM, AFM and optical microscopy. The results demonstrate high sensitivity of dislocation mobility to track core damage. The relationship between the energy loss of ions, dislocation mobility and track structure is discussed.  相似文献   

13.
MeV Au irradiation leads to a shape change of polystyrene (PS) and SiO2 particles from spherical to ellipsoidal, with an aspect ratio that can be precisely controlled by the ion fluence. Sub-micrometer PS and SiO2 particles were deposited on copper substrates and irradiated with Au ions at 230 K, using an ion energy and fluence ranging from 2 to 10 MeV and 1 × 1014 ions/cm2 to 1 × 1015 ions/cm2. The mechanisms of anisotropic deformation of PS and SiO2 particles are different because of their distinct physical and chemical properties. At the start of irradiation, the volume of PS particles decrease, then the aspect ratio increases with fluence, whereas for SiO2 particles the volume remains constant.  相似文献   

14.
It would be very interesting to develop a picture about removal of atoms from the radiation damaged paths or latent nuclear tracks and undamaged bulk material in track detectors. Here, theory of chemical etching is described briefly and a new model for chemical etching along radiation damaged paths in solids is developed based on basic scientific facts and valid assumptions. Dependence of chemical etching on radiation damage intensity and etching conditions is discussed. A new parameter for etching along radiation damaged paths is introduced, which is useful for investigation of relationship between chemical etchability and radiation damage in a solid. Results and discussion presented here are also useful for further development of nuclear waste immobilization.  相似文献   

15.
Solid-state nuclear track detectors (SSNTDs), such as LR 115, have been commonly used in diffusion chambers for long-term measurements of radon gas concentrations. For the LR 115 SSNTD, it has been found that the active layer removed during chemical etching is significantly affected by the presence and amount of stirring, and thus cannot be controlled easily. However, the sensitivity of the LR 115 detector inside a diffusion chamber to the radon and/or thoron gas concentration is dependent on the actual removed active layer thickness. This relationship is dependant on the geometry of the diffusion chamber and the deposition fraction of 218Po in the diffusion chamber, as well as the V function for the LR 115 detector (V is the ratio between the track etch velocity Vt to the bulk etch velocity Vb). This paper presents the experimentally determined relationships between the sensitivity of the LR 115 detector inside a Karlsruhe diffusion chamber and the removed active layer thickness, for both radon and thoron. A V function was adjusted to simulate the relationships. In particular, for the case of 222Rn, we have found f ∼ 0.5, where f is the fraction of 218Po which decays inside the diffusion chamber before deposition onto available inner surfaces of the chamber. In conclusion, we have found that the sensitivities critically depend on the actual removed active layer thickness, so this should be monitored and used in determining the sensitivities.  相似文献   

16.
Recently, our group proposed a method (proxy equilibrium factor method) using a bare LR 115 detector for long-term monitoring of the equilibrium factor. Due to the presence of an upper alpha-particle energy threshold for track formation in the LR 115 detector, the partial sensitivities to 222Rn, 218Po and 214Po were the same, which made possible measurements of a proxy equilibrium factor Fp that was well correlated with the equilibrium factor. In the present work, the method is extended to CR-39 detectors which have better-controlled etching properties but do not have an upper energy threshold. An exposed bare CR-39 detector is first pre-etched in 6.25 N NaOH solution at 70 °C for 6 h, and then etched electrochemically in a 6.25 N NaOH solution with ac voltage of 400 V (peak to peak) and 5 kHz applied across the detectors for 1 h at room temperature. Under these conditions, for tracks corresponding to incident angles larger than or equal to 50°, the treeing efficiency is 0% and 100% for incident energies smaller than and larger than 4 MeV, respectively. A simple method is then proposed to obtain the total number of tracks formed below the upper energy threshold of 4 MeV, from which the proxy equilibrium factor method can apply.  相似文献   

17.
A gas ionization chamber for use in backscattering spectrometry has been built. It has the shape of a hollow cylinder and can be placed in-line with the incident ion beam. The entrance window for detected particles is composed of a circular array of silicon nitride membranes. A low noise preamplifier with cooled FET is used for charge amplification. The detector resolution has been measured for a variety of ions in the mass range from He to Si and for energies between 0.5 and 8 MeV. The energy resolution of the ionization chamber surpasses the one of a state-of-the-art silicon charged particle detector for all ions heavier than Li. For Si ions the improvement in resolution is more than a factor of 2. The device does not suffer from any radiation damage. For He particles around 1 MeV the resolution is between 13 and 16 keV (FWHM). Therefore the new detector is not only well suited for heavy ion backscattering spectrometry but can also be applied for standard He RBS, allowing the use of a single detector for all types of projectiles in a wide energy range.  相似文献   

18.
The present work is part of a systematic study that involves different polymeric substrates and monomers with the purpose to induce grafting on etched tracks. The residual active sites produced by heavy ion beams, remaining after the etching process, were used to start the grafting process. In order to produce tracks on foils of poly(vinylidene fluoride) (PVDF) they were irradiated with 208Pb of 25.62 MeV/n or with 115 MeV Cl ions. Then, they were etched and grafted with N-isopropylacrylamide (NIPAAm) monomers. Experimental curves of grafting yield as a function of fluence with the etching time as a parameter were measured. Also, the grafting yield as a function of the grafting and etching time was obtained. The replica method allowed the observation of the shape of the grafted tracks using transmission electron microscopy (TEM). In addition NIPAAm grafted foils were analyzed using Fourier transform infrared spectroscopy (FTIR).  相似文献   

19.
We have developed a new plastic track etch detector labeled TNF-1, which is the copolymer of CR-39 monomer with N-isopropylacrylamide (NIPAAm). It was found that copoly(CR-39/NIPAAm/ antioxidant) composed in weight ratio of 99/1/0.01 is highly sensitive to low linear energy transfer (LET) particles in the region below 10 keV/μm of LET200 eV. TNF-1 is the most sensitive plastic track etch detector reported so far and is able to record normally incident protons up to the energy of 27 MeV. This paper gives results of our studies on the track responses of TNF-1 as well as the brief results obtained by the performance tests of TNF-1 in various dosimetric experiments such as space radiation dosimetry, dosimetry for heavy ion cancer therapy and neutron dosimetry. These results are compared with the results obtained for CR-39 track detectors.  相似文献   

20.
Characteristics of CR-39 plastic containing chlorinated compounds such as HCB and DCD have been studied using relativistic heavy ions from the LBL Bevalac. These results are compared with those of pure CR-39, focusing in particular on etching properties and on whether a penetrating etch hole is produced along each particle path by a long duration etch. The relation between the reduced track etch rate and the etchant concentration for CR-39 containing HCB is quite different from that of pure CR-39. Dilute etchants gives much higher track sensitivities than do more concentrated solutions in a wide range of REL. Since type HCB/DCD CR-39 loss its sensitivity in the interior of the bulk material for low REL produced by 2.1 GeV/n Ne ions, penetrating etch holes are not produced along the particle paths after a heavy etching.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号