首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 750 毫秒
1.
In submicrometre-sized metal-oxide-semiconductor field-effect transistors, MOSFETs, the alternate capture and emission of carriers at individual Si—SiO2 interface defects generates discrete switching in the source-drain resistance. The resistance changes are observed in the drain current as random telegraph signals (RTSs) or as stepped transients after a strong perturbation of the trap occupation. The study of individual defects in MOSFETs has provided a powerful means of investigating the capture and emission kinetics of interface traps, it has demonstrated the defect origins of low-frequency (1/f) noise in MOSFETs, and it has provided new insight into the nature of defects at the Si—SiO2 interface. The analysis of individual interface defects has shown that a Coulomb energy of several hundred millivolts is involved in the transfer and localization of the single charge carrier into the interface trap.  相似文献   

2.
《Thin solid films》1986,142(2):183-191
A new charge-temperature technique is proposed which permits control of the motion of mobile charges inside the gate oxide of metal/oxide/semiconductor field effect transistors (MOSFETs). It was shown that the transconductance of an n-channel MOSFET was increased (decreased) after the device was subjected to a negative (positive) charge-temperature treatment. A constant interface trap density model including the effect of mobility degradation due to interface traps and velocity saturation is developed to explain the experimental results. The interface trap density is increased with the number of mobile charges driven to the Si-SiO2 interface, whereas the concomitant degradation can be reduced by a suitable negative charge-temperature treatment.  相似文献   

3.
The results of investigations of the point defect generation, redistribution and interaction with impurities in the Si-SiO2 system during the process of its formation by means of electron paramagnetic resonance (EPR) and nucleus magnetic resonance (NMR) techniques are presented. The type and density of the point defects that are generated in the Si surface layer during thermal oxidation depend on the oxidation condition: temperature, cooling rate, oxidation time, and impurity content. The interaction between the point defects with extended defects and impurities affects the properties of the Si-SiO2 interface. The influence of the point defects may be diminished and the interface properties improved by an appropriate choice of the oxidation conditions. The difference between the interface properties of n- and p-type wafers may be connected with the different position of the Fermi level at the interface and different point defects density in the volume near the interface.  相似文献   

4.
We compared performances for transistors produced using both wet and dry etching for non-silicide processes in the CMOS technology. It was found that the dry process for non-silicide area induces the threshold voltage shifting of the pMOS transistor as well as increases the contact resistance on active region. Also, GIDL (gate-induced-drain-leakage) current has a poor junction leakage current compared with the wet etching process. Moreover, the dry etching process changes the doping profile of the P+ junction and the p-channel transistor region. The experiments showed the dry etching process generates the Si-SiO2 interface trap site due to plasma-induced damage.  相似文献   

5.
We have studied the effect of ultrasonic treatment on the generation characteristics of a silicon-silicon dioxide (Si-SiO2) interface obtained by thermal oxidation of the surface of silicon. The ultrasonic treatment leads to a decrease in the surface generation rate and an increase in the generation lifetime of minority charge carriers. These effects are related to a transformation of the defect structure of a transition layer at the Si-SiO2 interface and in the adjacent region of silicon.  相似文献   

6.
We have studied the effect of γ radiation on the generation characteristics of a silicon-silicon dioxide (Si-SiO2) interface formed upon thermal oxidation of the surface of silicon. The irradiation leads to the appearance of a temperature dependence of the surface generation velocity. This effect is related to the formation of radiation-induced traps capable of exchanging trapped carriers with the bulk of a semiconductor by means of tunneling emission.  相似文献   

7.
Electronic trap densities at the indium tin oxide (ITO)/hole transport layer (HTL) interface in operating organic light-emitting diodes (OLEDs) are characterized in situ using impedance spectroscopy. For OLEDs with a high density of active trap states, negative values of the frequency derivative of resistance are clearly observable for frequencies on the order of 10 kHz, whereas positive values are observed when the trap density is low With this technique, it is revealed that the trap density is minimized via the introduction of a TPD-Si2 (4,4′-bis[(p-trichlorosilylpropylphenyl) phenylamino]-biphenyl) passivation layer at the ITO/HTL interface or by the application of large electric fields during device operation. Furthermore, impedance spectroscopy illustrates that the ITO/HTL interface is not a simple series resistance when traps are present since they are shown not to contribute to high frequency conduction. Overall, this paper demonstrates that the parasitic effects of interface traps can mask the underlying negative capacitive transport in OLEDs and presents a technique capable of monitoring the trap density of buried interfaces in organic electronic devices.  相似文献   

8.
Ion beam and thermally-induced interface reactions between highT c superconducting thin film of Y1Ba2Cu3O7−x and metal overlayer of Ag are studied with a view to control the interfacial property of contact resistance. The interface reaction is induced by 100 keV Ar+ ion beam with different ion dose values ranging from 5 × 1013 to 3×1014 ions/cm2. The YBaCuO film-metal interface is characterized by using the small angle XRD to study the structural properties of the interfacial phases. The electrical property of the interface, specifically contact resistance, has been investigated for different dose values and thermal treatments. Three-probe vs four-probe configuration has been adopted to measure the contact resistance.  相似文献   

9.
Measurements on MOS capacitors and MOS transistors at room temperature and 77 K lead to the conclusion that large slow-trapping effects can occur at the Si-SiO2 interface at room temperature. These “slow state” effects differ substantially from the “slow state” effects usually observed in the Si-SiO2 system.  相似文献   

10.
We have explored the microstructure and local interface strain in the poly-Si1-xGex/SiO2/Si tri-layer system with ultrathin oxides. High-resolution transmission electron microscopy (HRTEM) and high-resolution X-ray diffraction rocking curves (HR-RC) and two-dimensional reciprocal space mapping (2D-RSM) were the main characterization tools. The poly-Si1-xGex/SiO2/Si structures have x=0, 0.2, and 0.35 for ultrathin oxides (2.0–3.0 nm). The result shows that for the adopted growth process, the poly grain size depends very strongly on the Ge concentration, and it increases with increasing Ge mole fraction. In turn, this increase of the grain size in the poly-Si1-xGex/SiO2/Si reduces the strain in the film, which then affects the interface strain at the lower SiO2/Si interface. In addition, the presence of defects at the SiO2/Si interface was found to be greater for samples with no local interface strain.  相似文献   

11.
The problem of determining the density of surface states at the Si-SiO2 interface from the quasi-static capacitance of a MOS capacitor is reconsidered in full detail. The errors introduced by the usual zero kelvin approximation are evaluated, an improved semiclassical approximation is proposed and its limits are estimated, an exact formula is found, and a numerical method is developed.The discussion of this formula reveals the existence of fundamental difficulties which are concealed by the approximate methods. These difficulties are common to several problems in solid state physics.  相似文献   

12.
Electron spin resonance of paramagnetic point defects was used to probe (100)Si/LaAlO3 structures with nm-thick amorphous high-dielectric constant (κ) LaAlO3 layers deposited directly on clean (100)Si by molecular beam deposition at ∼100 °C. Unlike common high-κ metal oxide/Si entities, no Pb-type interface defects could be observed in the as-grown state, revealing the absence of an Si/SiO2-type interface in terms of these archetypal Si-dangling bond-type Si/SiO2 interface defects (Pb0, Pb1). This state is found to persist during subsequent thermal treatment (5% O2/N2 mixture) up to T an ∼ 800 °C, indicating a thermally stable abrupt Si/LaAlO3 interface. However, in the range T an ∼ 800–860 °C, a Si/SiO2-type interface starts forming as evidenced by the appearance of Pb0 defects and, with some retardation in terms of T an, the EX center—an SiO2 associated defect, attesting to significant structural/compositional adaptation. Monitoring the defect density versus T an indicates the SiO x nature of the interlayer to disintegrate again upon heating at T an ≥ 930 °C, possibly related to intensifying crystallization and silicate formation. Despite intensive search, no LaAlO3-specific point defects could be revealed.  相似文献   

13.
White electroluminescence (EL) from ZnO/GaN structures fabricated by pulsed laser deposition of Zn:In onto GaN:Mg/GaN structures MOCVD-grown on Al2O3 substrates has been observed. The white light is produced by superposition of two strongest emission lines, narrow blue and broad yellow, peaked at 440 and 550 nm, respectively. The intensity ratio of different EL lines from ZnO/GaN/Al2O3 structures depends on the ZnO film quality and drive current. The white EL is due to the high density of structural defects at the n-ZnO/p-GaN interface. A band diagram of the n-ZnO/p-GaN/n-GaN is constructed and a qualitative explanation of the EL is given. Conditions of ZnO deposition strongly affects the properties of the recombination emission and predetermines the EL spectrum of the LED structure if it does not have high quantum efficiency (more than 1%) such as in commercial LEDs.  相似文献   

14.
Si-SiO2-Si3N4 heterostructures obtained by depositing silicon nitride onto a silicon substrate oxidized in dry oxygen were studied by measuring electroluminescence (EL) in the electrolyte-insulator-semiconductor system. The EL spectra display the emission bands typical of a silicon oxide layer and an intense band at 2.7 eV characteristic of the radiative relaxation of excited silylene centers. Since these centers are typical of silicon oxynitride layers, it is concluded that such a layer is formed at the boundary.  相似文献   

15.
Hydrogenated silicon nitride (a-SiNx:H) films were deposited at temperatures ranging from 50 to 300 °C with remote plasma enhanced chemical vapor deposition (RPECVD) from NH}_{3 and SiH}_{4. The effect of the operating variables, such as deposition temperature and especially the partial pressure ratio of reactant (R=NH3/SiH4) on the properties of the Sa-SiNx:H interface was investigated. The H* radical was dominantly observed and the deposition rate was proportional to the NH* radical concentration. The density of highly energetic N 2 * radicals increased in the high plasma power regime in which the film surface was roughened, but they promote surface reactions even at low temperature. The refractive index was more closely related to the film stoichiometry than film density. The interface trap density is related to the amount of silicon intermediate species and Si–NH bonds at the Si/SiNx:H interface and it can be minimized by reducing the intermediate Si species and Si–NH bonding state. The films showed a midgap interface trap density of 2 × 1011 - 2 × 1012cm-2. © 2001 Kluwer Academic Publishers  相似文献   

16.
The integral equation of the kinked interface crack is solved numerically. The values of K I, K II and G for an interface crack with an infinitesimal kink are used to predict the kinking angle for two different material combinations under uniaxial tension.  相似文献   

17.

The effect of the NOA61 photopolymer organic interlayer on the electrical and dielectric properties of the Al/NOA61/p-Si/Al metal-polymer-semiconductor (MPS) device has been reported the first time. The device parameters of the device such as rectification ratio (RR), ideality factor (n), and barrier height (ΦB) were determined from the current–voltage (IV) measurements according to thermionic emission theory (TE). Series resistance, RS, values were also calculated by Norde and Cheung methods in the range of 2.4–3 kΩ. According to the reverse bias IV measurements, the current was governed by Frenkel–Poole Emission (FPE) in the entire region. The voltage-dependent capacitance (C) and the conductance (G/ω) measurements were investigated at particular frequencies between 20 kHz and 1 MHz. The dielectric constant (ε′), dielectric loss (ε″), loss tangent (tanδ), and the complex electric modulus (M) were calculated using the measured C and G parameters, and it was seen that the interface states and surface dipoles at the interfacial layer were effective in the behavior of the device in alternating current. Additionally, the morphological properties of the thin film were studied by scanning electron microscopy (SEM). We observed that (NOA61) organic interlayer may be a noticeable alternative to a variety of electronic applications.

  相似文献   

18.
P. Balk  N. Klein 《Thin solid films》1982,89(4):329-338
The problem of the generation of interface states in the Si/SiO2 system has acquired renewed interest in recent years because of questions relating to radiation damage and to the effects caused by high fields occuring in short-channel MOS field effect transistors and in the insulators of non-volatile memory devices. In this paper the effects on the interfacial properties of annealing treatments, high field stressing, exposure to ionizing radiation and carrier injection via optical excitation by field emission and via avalanching in the silicon will be reviewed. Our present level of insight into the nature and the mechanism of interface state generation will be discussed.  相似文献   

19.
The paper investigates the capability of a finite element model based on cohesive interface elements to simulate complex three-dimensional damage patterns in composite laminates subjected to low-velocity impact. The impact response and the damage process of cross-ply laminated plates with grouped ([03/903]s and [903/03]s) and interspersed ([0/90]3s) ply stacking was simulated using a FE model developed by the authors in a previous study and the numerical results were compared to experimental observations. The model provided a correct simulation of size, shape and location of the principal fracture modes occurring in impacted [03/903]s and [903/03]s laminates. In [0/90]3s laminates, characterized by a complex spatial damage distribution, the model was able to predict the approximately circular shape of the overall projected damage area and to capture the typical shape features of individual delaminations; significant discrepancies between experiments and predictions were however observed in terms of delamination sizes at single interfaces. Further investigations are needed to clarify the main reasons of these discrepancies.  相似文献   

20.

In the conventional perovskite solar cells (PSCs) structure, TiO2 is the most commonly used electron transport layer (ETL) as it has good energy-level matching with perovskite layer. However, oxygen vacancy defects will appear when TiO2 is exposed to ultraviolet light for a long time, which would reduce its carrier extraction ability. Here, we report a simple and effective interface engineering method for TiO2 ETL to achieve a highly efficient PSCs. An ultra-thin [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) layer is used to modify the mesoporous TiO2/perovskite layer interface. The PCBM effectively passivates defects on the TiO2 surface, promotes the extraction of electrons, and improves the quality of the perovskite film. Finally, a high efficiency of 16.4% was achieved for the modified device, much higher than 13.5% of the reference devices. After storing for 12 days in an atmosphere with an air humidity of 30?±?5%, the efficiency of the PSCs maintains more than 60% of its initial level. This strategy is beneficial to enhance the efficiency and working stability of PSCs.

  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号