首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
To realize high-mobility surface channel pMOSFETs on Ge, a 1.6-nm-thick SiOX passivation layer between the bulk Ge substrate and HfSiO gate dielectric was introduced. This approach provides a simple alternative to epitaxial Si deposition followed by selective oxidation and leads to one of the highest peak hole mobilities reported for unstrained surface channel pMOSFETs on Ge: 332 cm2 middotV-1middots-1 at 0.05 MV/cm-a 2times enhancement over the universal Si/SiO2 mobility. The devices show well-behaved output and transfer characteristics, an equivalent oxide thickness of 1.85 nm and an ION/IOFF ratio of 3times103 without detectable fast transient charging. The high hole mobility of these devices is attributed to adequate passivation of the Ge surface  相似文献   

2.
N-channel metal oxide semiconductor field effect transistors (MOSFETs) using Ta2O5, gate oxide were fabricated. The Ta2O5 films were deposited by plasma enhanced chemical vapor deposition. The IDS-VDS and IDS-VGS characteristics mere measured. The electron mobility was 333 cm2/V·s. The subthreshold swing was 73 mV/dec. The interface trapped charge density, the surface recombination velocity, and the minority carrier lifetime in the field-induced depletion region measured from gated diodes were 9.5×1012 cm-2 eV-1, 780 cm/s and 3×10-6 sec, respectively. A comparison with conventional MOSFETs using SiO2 gate oxide was made  相似文献   

3.
It is reported for that H2 plasma followed by O2 plasma is more effective for passivating grain boundary states in polysilicon thin film. Polysilicon thin-film transistors (TFTs) made after H2/O2 plasma treatment can exhibit a turn-on threshold voltage of -0.1 V, a subthreshold swing of 0.154 V/decade, an ON/OFF current ratio Ion/Ioff over 1×108, and an electron mobility of 40.2 cm2 /V-s  相似文献   

4.
The operation of long- and short-channel enhancement-mode In0.7Ga0.3As-channel MOSFETs with high-k gate dielectrics are demonstrated for the first time. The devices utilize an undoped buried-channel design. For a gate length of 5 mum, the long-channel devices have Vt= +0.25 V, a subthreshold slope of 150 mV/dec, an equivalent oxide thickness of 4.4 +/ - 0.3 nm, and a peak effective mobility of 1100 cm2/Vldrs. For a gate length of 260 nm, the short-channel devices have Vt=+0.5 V and a subthreshold slope of 200 mV/dec. Compared with Schottky-gated high-electron-mobility transistor devices, both long- and short-channel MOSFETs have two to four orders of magnitude lower gate leakage.  相似文献   

5.
叶伟  崔立堃  常红梅 《电子学报》2019,47(6):1344-1351
具有高介电常数的栅绝缘层材料存在某种极化及耦合作用,使得ZnO-TFTs具有高的界面费米能级钉扎效应、大的电容耦合效应和低的载流子迁移率.为了解决这些问题,本文提出了一种使用SiO2修饰的Bi1.5Zn1.0Nb1.5O7作为栅绝缘层的ZnO-TFTs结构,分析了SiO2修饰对栅绝缘层和ZnO-TFTs性能的影响.结果表明,使用SiO2修饰后,栅绝缘层和ZnO-TFTs的性能得到显著提高,使得ZnO-TFTs在下一代显示领域中具有非常广泛的应用前景.栅绝缘层的漏电流密度从4.5×10-5A/cm2降低到7.7×10-7A/cm2,粗糙度从4.52nm降低到3.74nm,ZnO-TFTs的亚阈值摆幅从10V/dec降低到2.81V/dec,界面态密度从8×1013cm-2降低到9×1012cm-2,迁移率从0.001cm2/(V·s)升高到0.159cm2/(V·s).  相似文献   

6.
Proof-of-concept pMOSFETs with a strained-Si/sub 0.7/Ge/sub 0.3/ surface-channel deposited by selective epitaxy and a TiN/Al/sub 2/O/sub 3//HfAlO/sub x//Al/sub 2/O/sub 3/ gate stack grown by atomic layer chemical vapor deposition (ALD) techniques were fabricated. The Si/sub 0.7/Ge/sub 0.3/ pMOSFETs exhibited more than 30% higher current drive and peak transconductance than reference Si pMOSFETs with the same gate stack. The effective mobility for the Si reference coincided with the universal hole mobility curve for Si. The presence of a relatively low density of interface states, determined as 3.3 /spl times/ 10/sup 11/ cm/sup -2/ eV/sup -1/, yielded a subthreshold slope of 75 mV/dec. for the Si reference. For the Si/sub 0.7/Ge/sub 0.3/ pMOSFETs, these values were 1.6 /spl times/ 10/sup 12/ cm/sup -2/ eV/sup -1/ and 110 mV/dec., respectively.  相似文献   

7.
We present metal-gate high-k-dielectric enhancement-mode (e-mode) III-V MOSFETs with the highest reported effective mobility and transconductance to date. The devices employ a GaGdO high-k (k = 20) gate stack, a Pt gate, and a delta-doped InGaAs/AlGaAs/GaAs hetero-structure. Typical 1-mum gate length device figures of merit are given as follows: saturation drive current, Id,sat = 407 muA/mum; threshold voltage, Vt = +0.26 V; maximum extrinsic transconductance, gm = 477 muS/mum (the highest reported to date for a III-V MOSFET); gate leakage current, Ig = 30 pA; subthreshold swing, S = 102 mV/dec; on resistance, Ron = 1920 Omega-mum; Ion/Ioff ratio = 6.3 x 104; and output conductance, gd = 11 mS/mm. A peak electron mobility of 5230 cm2/V. s was extracted from low-drain-bias measurements of 20 mum long-channel devices, which, to the authors' best knowledge, is the highest mobility extracted from any e-mode MOSFET. These transport and device data are highly encouraging for future high-performance n-channel complementary metal-oxide-semiconductor solutions based on III-V MOSFETs.  相似文献   

8.
The performance improvement of ZnO thin-film transistors (TFTs) using HfO2/Ta2O5 stacked gate dielectrics was demonstrated. The ZnO TFTs exhibited transistor behaviour over the range 0-10 V; the field effect mobility, subthreshold slope and on/off ratio were measured to be 1.3 cm2 V-1 s-1, 0.5 V/decade and ~106, respectively.  相似文献   

9.
We have experimentally studied the suitability of nanometer-scale In0.7Ga0.3As high-electron mobility transistors (HEMTs) as an n-channel device for a future high-speed and low-power logic technology for beyond-CMOS applications. To this end, we have fabricated 50- to 150-nm gate-length In0.7Ga0.3As HEMTs with different gate stack designs. This has allowed us to investigate the role of Schottky barrier height (PhiB) and insulator thickness (tins) on the logic characteristics of In0.7Ga0.3As HEMTs. The best 50-nm HEMTs with the highest PhiB and the smallest tins exhibit an ION/IOFF ratio in excess of 104 and a subthreshold slope (S) below 86 mV/dec. These nonoptimized 50-nm In0.7Ga0.3As HEMTs also show a logic gate delay (CV/I) of around 1 ps at a supply voltage of 0.5 V, while maintaining an ION/IOFF ratio above 104, which is comparable to state-of-the-art Si MOSFETs. As one of the alternatives for beyond-CMOS technologies, we believe that InAs-rich InGaAs HEMTs hold a considerable promise.  相似文献   

10.
MOSFETs incorporating ZrO2 gate dielectrics were fabricated. The IDS-VDS, IDS-VGS , and gated diode characteristics were analyzed to investigate the ZrO2/Si interface properties. The interface trap density (D it) was determined to be about 7.4times1012 cm -2middoteV-1 using subthreshold swing measurement. The surface-recombination velocity (s0) and the minority carrier lifetime in the field-induced depletion region (tau 0,FIJ) measured from the gated diodes were about 3.5times10 3 cm/s and 2.6times10-6 s, respectively. The effective capture cross section of surface state (sigmas) was determined to be about 5.8times10-16 cm2 using the gated diode technique and the subthreshold swing measurement. A comparison with conventional MOSFETs using SiO2 gate oxides was also made  相似文献   

11.
The authors have fabricated a new low temperature polycrystalline silicon (poly-Si) thin film transistor (TFT) with silicon nitride (SiN x) ion-stopper and laser annealed poly-Si. The fabricated poly-Si TFT using SiNx as the ion-stopper as well as the gate insulator exhibited a field effect mobility of 110 cm2/Vs, subthreshold voltage of 5.5 V, subthreshold slope of 0.48 V/dec., and on/off current ratio of ~106. Low off-state leakage current of 2.4×10-2 A/μm at the drain voltage of 5 V and the gate voltage of -5 V was achieved  相似文献   

12.
The use of aluminum oxide as the gate insulator for low temperature (600°C) polycrystalline SiGe thin-film transistors (TFTs) has been studied. The aluminum oxide was sputtered from a pure aluminum target using a reactive N2O plasma. The composition of the deposited aluminum oxide was found to be almost stoichiometric (i.e., Al2O3), with a very small fraction of nitrogen incorporation. Even without any hydrogen passivation, good TFT performance was measured an devices with 50-nm-thick Al2O3 gate dielectric layers. Typically, a field effect mobility of 47 cm2/Vs, a threshold voltage of 3 V, a subthreshold slope of 0.44 V/decade, and an on/off ratio above 3×105 at a drain voltage of 0.1 V can be obtained. These results indicate that the direct interface between the Al2 O3 and the SiGe channel layer is sufficiently passivated to make Al2O3 a better alternative to grown or deposited SiO2 for SiGe field effect devices  相似文献   

13.
In this letter, we report the fabrication and characterization of self-aligned inversion-type enhancement-mode In0.53Ga0.47As metal-oxide-semiconductor field-effect transistors (MOSFETs). The In0.53Ga0.47As surface was passivated by atomic layer deposition of a 2.5-nm-thick AIN interfacial layer. In0.53Ga0.47As MOS capacitors showed an excellent frequency dispersion behavior. A maximum drive current of 18.5 muA/mum was obtained at a gate overdrive of 2 V for a MOSFET device with a gate length of 20 mum. An Ion/off ratio of 104, a positive threshold voltage of 0.15 V, and a subthreshold slope of ~165 mV/dec were extracted from the transfer characteristics. The interface-trap density is estimated to be ~7-8 times 1012 cm-2 ldr eV-1 from the subthreshold characteristics of the MOSFET.  相似文献   

14.
The authors have fabricated the thin-film transistor (TFT) with CdSe and CdS semiconductor thin films, prepared by a low temperature chemical bath deposition (CBD) method, as an active layer. The ON-current values of the CdSe-TFTs and CdS-TFTs at a gate bias of 10 V and a source-drain voltage of 10 V are about 100 μA and 5 μA, respectively. The OFF-current values of the CdSe-TFTs and CdS-TFTs at the source-drain voltage of 10 V are less than 10 pA. The fabricated CdSe-TFTs exhibited a field effect mobility of 15 cm2/V-s, threshold voltage of 3.5 V, subthreshold slope of 0.5 V/dec., and ON/OFF current ratios exceed 107. A field effect mobility of I cm 2/V-s, a threshold voltage of 2.6 V, a subthreshold slope of 0.6 V/dec., and an ON/OFF current ratios exceed 106 were observed for CdS TFTs  相似文献   

15.
High-performance inversion-type enhancement-mode n-channel In0.53Ga0.47As MOSFETs with atomic-layer-deposited (ALD) Al2O3 as gate dielectric are demonstrated. The ALD process on III-V compound semiconductors enables the formation of high-quality gate oxides and unpinning of Fermi level on compound semiconductors in general. A 0.5-mum gate-length MOSFET with an Al2O3 gate oxide thickness of 8 nm shows a gate leakage current less than 10-4 A/cm2 at 3-V gate bias, a threshold voltage of 0.25 V, a maximum drain current of 367 mA/mm, and a transconductance of 130 mS/mm at drain voltage of 2 V. The midgap interface trap density of regrown Al2O3 on In0.53Ga0.47As is ~1.4 x 1012/cm2 ldr eV which is determined by low-and high-frequency capacitance-voltage method. The peak effective mobility is ~1100 cm2 / V ldr s from dc measurement, ~2200 cm2/ V ldr s after interface trap correction, and with about a factor of two to three higher than Si universal mobility in the range of 0.5-1.0-MV/cm effective electric field.  相似文献   

16.
A thin-film transistor (TFT) with a maximum field-effect mobility of 320 cm2/V-s, an on/off current ratio of 7.6×107 , a threshold voltage of 6.7 V and a subthreshold slope of 0.37 V/decade was fabricated by using pulse laser annealing processes. Amorphous silicon films (a-Si:H) with a very low impurity concentration of 4×1018 cm-3 for oxygen, 1.5×1018 cm-3 for carbon, and 2×1017 cm-3 for nitrogen were deposited by a plasma chemical vapor deposition (CVD) method and annealed by KrF excimer laser (wavelength of 248 nm). The Raman spectroscopy technique was a useful tool for optimizing laser annealing conditions. Experimental results show that two factors are very important for fabricating very-high mobility TFTs: (1) utilizing high-purity as-deposited a-Si:H film; and (2) performing whole laser annealing processes sequentially in a vacuum container and optimizing illumination conditions  相似文献   

17.
The spectroscopic properties of Ho3+ laser channels in KGd(WO4)2 crystals have been investigated using optical absorption, photoluminescence, and lifetime measurements. The radiative lifetimes of Ho3+ have been calculated through a Judd-Ofelt (JO) formalism using 300-K optical absorption results. The JO parameters obtained were Ω2=15.35×10-20 cm2, Ω 4=3.79×10-20 cm2, Ω6 =1.69×10-20 cm2. The 7-300-K lifetimes obtained in diluted (8·1018 cm-3) KGW:0.1% Ho samples are: τ(5F3)≈0.9 μs, τ( 5S2)=19-3.6 μs, and τ(5F5 )≈1.1 μs. For Ho concentrations below 1.5×1020 cm-3, multiphonon emission is the main source of non radiative losses, and the temperature independent multiphonon probability in KGW is found to follow the energy gap law τph -1(0)=βexp(-αΔE), where β=1.4×10-7 s-1, and α=1.4×103 cm. Above this holmium concentration, energy transfer between Ho impurities also contributes to the losses. The spectral distributions of the Ho3+ emission cross section σEM for several laser channels are calculated in σ- and π-polarized configurations. The peak a σEM values achieved for transitions to the 5I8 level are ≈2×10-20 cm2 in the σ-polarized configuration, and three main lasing peaks at 2.02, 2.05, and 2.07 μm are envisaged inside the 5I75I8 channel  相似文献   

18.
A detailed study of the growth of amorphous hydrogenated fluorinated silicon (a-Si:H, F) from a DC glow discharge in SiF4 and H2 is discussed. The electrical properties of the films can be varied over a very wide range. The bulk properties of the best films that were measured included an Urbach energy Eu =43 meV, a deep-level defect density Ns=1.5×1015 cm-3, and a hole drift mobility of 8×10-3 cm2 V-1 s-1, which reflects a characteristic valence band energy of 36 meV. It was found that Eu, N s, and the density of surface states Nss are related to each other. Under the deposition condition of the films with the best bulk properties, Nss reaches its highest value of 1×1014 cm-2. It is suggested that in growth from SiF4/H2, the density of dangling bonds at the growing surface is very sensitive to the deposition conditions  相似文献   

19.
A new Si thin-film transistor (TFT) has been proposed where only one grain-boundary exists at the center of channel, and the source and drain are within single grains with good crystallinity. The device fabricated by an excimer-laser crystallization method at the maximum temperature of 500°C, had the on-off current ratio ≅106 , the field-effect mobility ≅330 cm2/Vs and the subthreshold swing ≅1.1 V/dec, respectively, For the device processed at 800°C, they are >106, >450 cm2 /Vs and ≅0.51 V/dec, respectively  相似文献   

20.
The fabrication of planar optical waveguides in LiB3O 5 is discussed. Using 2-MeV 4He+ implantation with a dose of 1.5×1016 ions/cm2 at 300 K, the refractive indexes of a 0.2-μm-thick layer 5.1 μm below the crystal surface are reduced to form optical barrier guides. For this ion dose the maximum change from the bulk values of refractive index at a wavelength of 0.488 μm are 1.5%, 5.25%, and 4% for nx, ny, and nz, respectively. The refractive indexes of the guiding region change by less than 0.02% from the bulk values. The dose dependence of the optical barrier height has been measured. A threshold ion dose of about 0.75×1016 ions/cm2 is required to form a refractive index barrier and ion doses higher than about 2.5×1016 ions/cm2. saturate the refractive index decrease. Waveguide propagation losses for annealed single energy implants of dose 1.5×1016 ions/cm2 are dominated by tunneling and are estimated to be ~8.9 dB/cm for the z-cut waveguides used. Multiple energy implants broaden the optical barrier, and losses of <4 dB/cm have been observed  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号