首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
An examination of shallow pre-amorphisedp + n junctions in silicon has revealed three distinct defect related phenomena determined largely by the annealing temperature and relative location of the junction and the amorphous-crystalline (α-c) boundary. For temperatures below 800‡ C all samples displayed leakage currents of ∼10−3 A/cm2 irrespective of the amorphising atom (Si+, Ge+ or Sn+). The generation centres responsible were identified to be near mid-gap deep level donors lying beyond the α-c interface. For samples annealed above 800‡ C, the leakage current was determined by the interstitial dislocation loops at the α-c boundary. If these were deeper than the junction, a leakage current density of ∼10−5 A/cm2 resulted. From the growth of these loops during furnace annealing it was concluded that the growth was supported by the influx of recoil implanted silicon interstitials initially positioned beyond the α-c boundary. In the case where the as-implanted junction was deeper than the α-c boundary, annealing above 800° C resulted in a transient enhancement in the boron diffusion coefficient. As with the dislocation loop growth, this was attributed to the presence of the recoil implanted silicon interstitials.  相似文献   

2.
Cobalt disilicide is grown epitaxially on (100) Si from a 15 nm Co/2 nm Ti bilayer by rapid thermal annealing (RTA) at 900°C. Polycrystalline CoSi2 is grown on (100) Si using a 15 nm Co layer and the same annealing condition. Silicide/p+-Si/n-Si diodes are made using the silicide as dopant source:11B+ ions are implanted at 3.5–7.5 kV and activated by RTA at 600–900°C. Shallow junctions with total junction depth (silicide plus p+ region) measured by high-resolution secondaryion mass spectroscopy of 100 nm are fabricated. Areal leakage current densities of 13 nA/cm2 and 2 nA/cm2 at a reverse bias of -5V are obtained for the epitaxial silicide and polycrystalline silicide junctions, respectively, after 700°C post-implant annealing.  相似文献   

3.
The properties of TiN/TiSi2 bilayer formed by rapid thermal annealing (RTA) in an NH3 ambient after the titanium film is deposited on the silicon substrate is investigated. It is found that the formation of TiN/TiSi2 bilayer depends on the RTA temperature and a competitive reaction for the TiN/TiSi2 bilayer occurs at 600°C. Both the TiN and TiSi2 layers represent titanium-rich films at 600°C anneal. The TiN layer has a stable structure at 700°C anneal while the TiSi2 layer has C49 and C54 phase. Both the TiN and TiSi2 layers have stable structures and stoichiometries at 800°C anneal. When the TiN/TiSi2 bilayer is formed, the redistribution of boron atoms within the TiSi2 layer gets active as the anneal temperature is increased. According to secondary ion mass spectroscopy analysis, boron atoms pile up within the TiN layer and at the TiSi2−Si interface. The electrical properties for n+ and p+ contacts are investigated. The n+ contact resistance increases slightly with increasing annealing temperature but the p+ contact resistance decreases. The leakage current indicates degradation of the contact at high annealing temperature for both n+ and p+ junctions.  相似文献   

4.
In this work, we are reporting the use of a two-step rapid thermal annealing (RTA) process (250°C, 100s+340°C, 30s) for the annealing of Hg1−xCdxTe (MCT) implanted layers over p-type (x=0.22) substrates. We report a high value of electrical activation (70%) of the indium implants after this short RTA treatment in inert Ar atmosphere. The need of two RTA steps in the annealing recipe is shown, and so the role played by each of them: the first step annihilates the implantation damage, while the second one produces the impurity electrical activation. However, for the boron case, no electrical activity was found after several annealing processes, behaving as an inert species for the case of this bulk MCT material. We also point out the change on the substrate electrical characteristics induced by the thermal treatments, and report the convenience of a subsequent low temperature furnace annealing (200°C, 72 h) to reduce back the bulk carrier concentration to values low enough to achieve an n+-p IR detector structure.  相似文献   

5.
As part of a series of wafer bonding experiments, the exfoliation/blistering of ion-implanted Cd0.96Zn0.04Te substrates was investigated as a function of postimplantation annealing conditions. (211) Cd0.96Zn0.04Te samples were implanted either with hydrogen (5×1016 cm−2; 40–200 keV) or co-implanted with boron (1×1015 cm−2; 147 keV) and hydrogen (1–5×1016 cm−2; 40 keV) at intended implant temperatures of 253 K or 77 K. Silicon reference samples were simultaneously co-implanted. The change in the implant profile after annealing at low temperatures (<300°C) was monitored using high-resolution x-ray diffraction, atomic force microscopy (AFM), and optical microscopy. The samples implanted at the higher temperature did not show any evidence of blistering after annealing, although there was evidence of sample heating above 253 K during the implant. The samples implanted at 77 K blistered at temperatures ranging from 150°C to 300°C, depending on the hydrogen implant dose and the presence of the boron co-implant. The production of blisters under different implant and annealing conditions is consistent with nucleation of subsurface defects at lower temperature, followed by blistering/exfoliation at higher temperature. The surface roughness remained comparable to that of the as-implanted sample after the lower temperature anneal sequence, so this defect nucleation step is consistent with a wafer bond annealing step prior to exfoliation. Higher temperature anneals lead to exfoliation of all samples implanted at 77 K, although the blistering temperature (150–300°C) was a strong function of the implant conditions. The exfoliated layer thickness was 330 nm, in good agreement with the projected range. The “optimum” conditions based on our experimental data showed that implanting CdZnTe with H+ at 77 K and a dose of 5×1016/cm2 is compatible with developing high interfacial energy at the bonded interface during a low-temperature (150°C) anneal followed by layer exfoliation at higher (300°C) temperature.  相似文献   

6.
Boron out-diffusion in epitaxially grown n-Si/p+-Si1-xGex/n-Si heterojunction bipolar transistors is significantly enhanced during 850°C, 10 s rapid thermal annealing following arsenic emitter contact implantation. In this paper, we introduce three techniques which dramatically reduce boron out-diffusion during implant activation. Limiting the post-implant processing to 600°C for 2 min results in minimal diffusion giving acceptable device performance. A second technique involves pulsed laser annealing of the As implant, which removes residual defects and eliminates enhanced diffusion during subsequent thermal processing. Finally, we show that high bulk concentrations of oxygen in the Si1-xGex (∼1020 cm-3) dramatically reduce the implant-damage-enhanced boron diffusion. In addition to the depth profiles, electrical measurements performed on heterojunction bipolar transistors, incorporating these fabrication techniques, show ideal collector current characteristics and confirm the absence of deleterious boron out-diffusion effects.  相似文献   

7.
A study of selectively excited photoluminescence (PL) at ∼ 6K in Er-im planted GaN as a function of annealing temperature (400–1000°C) has detected nine different Er3+ centers with distinct ∼ 1540 nm 4I13/24I15/2 Er3+ PL spectra and different activation temperatures. However, most of the optically active implanted Er atoms are incorporated at annealing temperatures as low as 400°C on a single type of center for which PL can only be excited efficiently by direct intra-4f shell absorption and is not strongly pumped by either above-gap or broad-band below-gap absorption. This strongly suggests that this high-concentration Er3+ center is an isolated, isoelectronic center consistent with Er3+ substituted on a Ga site. In contrast, a very small fraction of the Er atoms that form a variety of Er-defect/impurity complexes dominate the Er3+ emission spectra excited by above-gap and broad-band below-gap absorption because of their larger cross sections for both carrier capture and optical absorption.  相似文献   

8.
This paper describes studies of InGaAs layers grown by molecular-beam epitaxy on InP (100) substrates at temperatures of 150–480 °C using various arsenic fluxes. It was found that lowering the epitaxy temperature leads to changes in the growth surface, trapping of excess arsenic, and an increased lattice parameter of the epitaxial layer. When these lowtemperature (LT) grown samples are annealed, the lattice parameter relaxes and excess arsenic clusters form in the InGaAs matrix. For samples grown at 150 °C and annealed at 500 °C, the concentration of these clusters was ∼8×1016 cm−3, with an average cluster size of ∼5 nm. Assuming that all the excess arsenic is initially trapped in the form of antisite defects, the magnitude of the LT-grown InGaAs lattice parameter relaxation caused by annealing implies an excess arsenic concentration (N AsN GaN In)/(N As+N Ga+N In)=0.4 at.%. For layers of InGaAs grown at 150 °C, a high concentration of free electrons (∼1×1017 cm−3) is characteristic. Annealing such layers at 500 °C decreases the concentration of electrons to ∼1×1017 cm−3. The results obtained here indicate that this change in the free-electron concentration correlates qualitatively with the change in excess arsenic concentration in the layers. Fiz. Tekh. Poluprovodn. 33, 900–906 (August 1999)  相似文献   

9.
Solid boron and antimony doping of silicon and SiGe grown by molecular beam epitaxy using disilane and germane as sources has been studied. Elemental boron is a well behaved p-type dopant. At effusion cell temperatures of 1700–1750°C, hole carrier concentrations in the 1020 cm−3 range have been obtained. Elemental antimony doping shows surface segregation problems. For uniformly doped layers, the as-grown materials do not show n-type conductivity. Electron concentrations in the 1017 cm−3 range were obtained by post-growth conventional and rapid thermal annealing at 900 and 1000°C, respectively. The electron Hall mobility improves with optimum annealing time. Delta doping of buried layers exhibits slightly better incorporation behavior including significant surface riding effects.  相似文献   

10.
The thermal stability of the Cu/Cr/Ge/Pd/n+-GaAs contact structure was evaluated. In this structure, a thin 40 nm layer of chromium was deposited as a diffusion barrier to block copper diffusion into GaAs. After thermal annealing at 350°C, the specific contact resistance of the copper-based ohmic contact Cu/Cr/Ge/Pd was measured to be (5.1 ± 0.6) × 10−7 Ω cm2. Diffusion behaviors of these films at different annealing temperatures were characterized by metal sheet resistance, X-ray diffraction data, Auger electron spectroscopy, and transmission electron microscopy. The Cu/Cr/Ge/Pd contact structure was very stable after 350°C annealing. However, after 400°C annealing, the reaction of copper with the underlying layers started to occur and formed Cu3Ga, Cu3As, Cu9Ga4, and Ge3Cu phases due to interfacial instability and copper diffusion.  相似文献   

11.
Halogen lamp rapid thermal annealing was used to activate 100 keV Si and 50 keV Be implants in In0.53Ga0.47As for doses ranging between 5 × 1012−4 × 1014 cm−2. Anneals were performed at different temperatures and time durations. Close to one hundred percent activation was obtained for the 4.1 × 1013 cm−2 Si-implant, using an 850° C/5 s anneal. Si in-diffusion was not observed for the rapid thermal annealing temperatures and times used in this study. For the 5 × 1013 cm−2 Be-implant, a maximum activation of 56% was measured. Be-implant depth profiles matched closely with gaussian profiles predicted by LSS theory for the 800° C/5 s anneals. Peak carrier concentrations of 1.7 × 1019 and 4 × 1018 cm−3 were achieved for the 4 × 1014 cm−2 Si and Be implants, respectively. For comparison, furnace anneals were also performed for all doses.  相似文献   

12.
The thermal reaction of rf-sputter-deposited tungsten films with a (100) silicon substrate is investigated by vacuum furnace annealing and rapid thermal annealing. An irradiation of the W/Si interface by a phosphorous ion beam at room temperature prior to annealing promotes a uniform interfacial growth of WSi2. The growth of WSi2 follows diffusion-controlled kinetics during both furnace annealing and rapid thermal processing. A growth law of x2 = kt is obtained for furnace annealing between 690 and 740° C, where x is the thickness of the compound, t is the annealing duration after an initial incubation period and k = 62 (cm2/s) exp (−-3.0 eV/kT). The surface smoothness of the suicide films improves with increasing ion dose.  相似文献   

13.
This paper discusses the electrical properties of a-SiGe films (N Ge∼2.2 at. %) prepared by co-evaporation of Si and Ge from separate sources and doped by ion implantation of substitutional impurities (B+ and P+), as well as the results of controlled impurity compensation by ion-beam doping. It was found that B+ and P+ implantation into a-SiGe films in the dose range 1.3×1014–1.3×1017 cm−2, followed by annealing at 350 °C, increased the conductivity of these films from 10−9 to 10−4 and to 10−5 S/cm for B+ and P+, respectively. The position of the Fermi level could be varied from (E v+0.27) to (E c−0.19) eV. These investigations indicate that compensation of pre-doped a-SiGe films by ion implantation is feasible and reproducible. It is also found that higher doping efficiency of a-SiGe films is obtained by using boron than by using phosphorus. Fiz. Tekh. Poluprovodn. 32, 1260–1262 (October 1998)  相似文献   

14.
Calcium copper titanium oxide (CaCu3Ti4O12, abbreviated to CCTO) films were deposited on Pt/Ti/SiO2/Si substrates at room temperature (RT) by radiofrequency magnetron sputtering. As-deposited CCTO films were treated by rapid thermal annealing (RTA) at various temperatures and in various atmospheres. X-ray diffraction patterns and scanning electron microscope (SEM) images demonstrated that the crystalline structures and surface morphologies of CCTO thin films were sensitive to the annealing temperature and ambient atmosphere. Polycrystalline CCTO films could be obtained when the annealing temperature was 700°C in air, and the grain size increased signifi- cantly with annealing in O2. The 0.8-μm CCTO thin film that was deposited at RT for 2 h and then annealed at 700°C in O2 exhibited a high dielectric constant (ε′) of 410, a dielectric loss (tan δ) of 0.17 (at 10 kHz), and a leakage current density (J) of 1.28 × 10−5 A/cm2 (at 25 kV/cm).  相似文献   

15.
High-purity semi-insulating 8° off-axis 〈0001〉 4H-SiC was implanted with Al+ at different doses and energies to obtain a dopant concentration in the range of 5 × 1019–5 × 1020 cm?3. A custom-made microwave heating system was employed for post-implantation annealing at 2,000 °C for 30 s. Sheet resistance and Hall-effect measurements were performed in the temperature range of 150–700 K. At room temperature, for the highest Al concentration, a minimum resistivity of 3 × 10?2 Ω cm was obtained, whereas for the lowest Al concentration, the measured resistivity value was 4 × 10?1 Ω cm. The onset of impurity band conduction was observed at around room temperature for the samples implanted with Al concentrations ≥3 × 1020 cm?3. Vertical p +-i-n diodes whose anodes were made by 1.5 × 1020 cm?3 Al+ implantation and 2,000 °C/30 s microwave annealing showed exponential forward current–voltage characteristics with two different ideality factors under low current injection. A crossover point of the temperature coefficient of the diode resistance, from negative to positive values, was observed when the forward current entered the ohmic regime.  相似文献   

16.
Excellent passivation of boron emitters is realised using AlOx/SiNx dielectric stacks deposited in an industrial inline plasma‐enhanced chemical vapour deposition reactor. Very low emitter saturation current density (J0e) values of 10 and 45 fA/cm2 are obtained for 180 and 30 Ω/sq planar p+ emitters, respectively. For textured p+ emitters, the J0e was found to be 1.5–2 times higher compared with planar emitters. The required thermal activation of the AlOx films is performed in a standard industrial fast‐firing furnace, making the developed passivation stack industrially viable. We also show that an AlOx thickness of 5 nm in the AlOx/SiNx stack is sufficient for obtaining a J0e of 18 fA/cm2 for planar 80 Ω/sq p+ emitters, which corresponds to a 1‐sun open‐circuit voltage limit of the solar cell of 736 mV at 25 °C. Copyright © 2012 John Wiley & Sons, Ltd.  相似文献   

17.
Boron ion implantation into pre-amorphized silicon is studied. Pre-amorphization is performed either by F+ or Si+ implantation prior to B+ implantation at 10 keV with 3×1015 ions/cm2. Broadening of the boron profile can be suppressed markedly in the pre-amorphized layers. For instance, the as-implanted depth at a B concentration of 1×1018 atoms/cm3 decreases from 0.19 to 0.1 μm for implantation into a pre-amorphized layer compared to B implantation into crystalline silicon. After annealing at 950°C, B atoms diffuse much more rapidly in the pre-amorphized layers than in the crystalline silicon case. Nevertheless, shallower junctions are obtained with the use of pre-amorphization. For dual F+ and B+ implantation at F+ doses above 1×1015 F+/cm2, fluorine is found to segregate to the peak of the boron profile during annealing. Fluorine is also trapped at the peak of the as-implanted fluorine profile peak and near the amorphous–crystalline interface. The effects of fluorine dose and anneal temperature on the F precipitation are described and compared to results for BF+2 implants.  相似文献   

18.
N+ implantation into p-type a-SiC (6H-SiC, 4H-SiC) epilayers at elevated temperatures was investigated and compared with implantation at room temperature (RT). When the implant dose exceeded 4 × 1015 cm−2, a complete amorphous layer was formed in RT implantation and severe damage remained even after post implantation annealing at 1500°C. By employing hot implantation at 500~800°C, the formation of a complete amorphous layer was suppressed and the residual damage after annealing was significantly reduced. For implant doses higher than 1015 cm−2, the sheet resistance of implanted layers was much reduced by hot implantation. The lowest sheet resistance of 542Ω/ was obtained by implantation at 500 ~ 800°C with a 4 × 1015 cm−2 dose. Characterization of n+-p junctions fabricated by N+ implantation into p-type epilayers was carried out in detail. The net doping concentration in the region close to the junction showed a linearly graded profile. The forward current was clearly divided into two components of diffusion and recombination. A high breakdown voltage of 615 ∼ 810V, that is almost an ideal value, was obtained, even if the implant dose exceeded 1015 cm−2. By employing hot implantation at 800°C, the reverse leakage current was significantly reduced.  相似文献   

19.
The performance of a novel Ge/Cu/Ti metallization scheme on n-type GaN has been investigated for obtaining thermally and electrically stable low-resistance ohmic contacts. Isochronal (2 min.) anneals in the 600–740°C temperature range and isothermal (690°C) anneals for 2–10 min. duration were performed in inert atmosphere. For the 690°C isothermal schedule, ohmic behavior was observed after annealing for 3 min. or longer with a lowest contact resistivity of 9.1 × 10−5 Ωcm2 after the 10 min. anneal for a net donor doping concentration of 9.2 × 1017 cm−Ω3. Mean roughness (Ra) for anneals at 690°C was almost constant at around 5 nm, up to an annealing duration of 10 min., which indicates a good thermal stability of the contact scheme.  相似文献   

20.
Electrical activation studies were carried out on Si-implanted Al0.33Ga0.67N as a function of ion dose, annealing temperature, and annealing time. The samples were implanted at room temperature with Si ions at 200 keV in doses ranging from 1 × 1014 cm−2 to 1 × 1015 cm−2, and subsequently proximity-cap annealed from 1150°C to 1350°C for 20 min to 60 min in a nitrogen environment. One hundred percent electrical activation efficiency was obtained for Al0.33Ga0.67N samples implanted with a dose of 1 × 1015 cm−2 after annealing at either 1200°C for 40 min or at 1300°C for 20 min. The samples implanted with doses of 1 × 1014 cm−2 and 5 × 1014 cm−2 exhibited significant activations of 74% and 90% after annealing for 20 min at 1300°C and 1350°C, respectively. The mobility increased as the annealing temperature increased from 1150°C to 1350°C, showing peak mobilities of 80 cm2/V s, 64 cm2/V s, and 61 cm2/V s for doses of 1 × 1014 cm−2, 5 × 1014 cm−2, and 1 × 1015 cm−2, respectively. Temperature-dependent Hall-effect measurements showed that most of the implanted layers were degenerately doped. Cathodoluminescence measurements for all samples exhibited a sharp neutral donor-bound exciton peak at 4.08 eV, indicating excellent recovery of damage caused by ion implantation.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号