首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到16条相似文献,搜索用时 62 毫秒
1.
MEMS器件大都含有可动的硅结构 ,在器件加工过程中 ,特别是在封装过程中极易受损 ,大大影响器件的成品率。如果能在MEMS器件可动结构完成以后 ,加上一层封盖保护 ,可以显著提高器件的成品率和可靠性。本文提出了一种用于MEMS芯片封盖保护的金 硅键合新结构 ,实验证明此方法简单实用 ,效果良好。该技术与器件制造工艺兼容 ,键合温度低 ,有足够的键合强度 ,不损坏器件结构 ,实现了MEMS器件的芯片级封装。我们已经将此技术成功地应用于射流陀螺的制造工艺中  相似文献   

2.
MEMS器件大都含有可动的硅结构,在器件加工过程中,特别是在封装过程中极易受损,大大影响器件的成品率.如果能在MEMS器件可动结构完成以后,加上一层封盖保护,可以显著提高器件的成品率和可靠性.本文提出了一种用于MEMS芯片封盖保护的金-硅键合新结构,实验证明此方法简单实用,效果良好.该技术与器件制造工艺兼容,键合温度低,有足够的键合强度,不损坏器件结构,实现了MEMS器件的芯片级封装.我们已经将此技术成功地应用于射流陀螺的制造工艺中.  相似文献   

3.
用于MEMS器件的单面溅金硅共晶键合技术   总被引:1,自引:0,他引:1  
分析了金硅共晶键合的基本原理,讨论了键合实验的基本工艺,给出了键合的测试结果.这种键合方法键合温度低,键合工艺简单,与器件制造工艺兼容,对工艺环境要求不高,可以得到满意的键合强度,而且成本低,特别适合于已经做过结构的器件键合封接工艺.  相似文献   

4.
MEMS圆片级真空封装金硅键合工艺研究   总被引:2,自引:1,他引:1  
提出一种适用于微机电系统(MEMS)圆片级真空封装的键合结构,通过比较分析各种键合工艺的优缺点后,选择符合本试验要求的金硅键合工艺.根据所提出键合结构和金硅键合的特点设计键合工艺流程,在多次试验后优化工艺条件.在此工艺条件下,选用三组不同结构参数完成键合试验.之后对比不同的结构参数分别测试其键合质量(包括键合腔体泄漏率...  相似文献   

5.
MEMS中的封装技术研究   总被引:3,自引:1,他引:2  
MEMS中的封装工艺与半导体工艺中的封装具有一定的相似性,因此,早期MEMS的封装大多借用半导体中现成的工艺。本文首先介绍了封装的主要形式,然后着重阐述了晶圆级封装与芯片级封装。最后给出了一些商业化的实例。  相似文献   

6.
综述了微电子机械系统(MEMS)封装主流技术,包括芯片级封装、器件级封装和系统及封装技术进行了。重点介绍了圆片级键合、倒装焊等封装技术。并对MEMS封装的技术瓶颈进行了分析。  相似文献   

7.
真空键合技术制作三层结构的MEMS器件的研究   总被引:1,自引:0,他引:1  
采用真空键合技术,成功地将表面具有深度不同的硅槽或框架结构的硅圆片与另外两个硅圆片贴合形成三层夹心结构,经高温退火处理,得到一种粘合牢固的硅“三明治”体。这种“三明治”体的上下两个硅片仍可进行IC加工,为MEMS传感部分和测试电路的三维一体化集成打下了坚实的基础。  相似文献   

8.
9.
激光在MEMS键合技术中的应用   总被引:2,自引:0,他引:2  
键合技术已经广泛地应用于微电子机械系统(MEMS)领域,但传统的键合技术由于其缺点,限制了其应用范围,而激光以其独特的优势在键合技术中得到了人们的重视.文章介绍了激光在键合技术中的应用及其原理,以及今后发展的方向.  相似文献   

10.
键合强度是MEMS器件研制中一个重要的工艺质量参数,键合强度检测对器件的可靠性具有十分重要的作用。为了获得MEMS器件制造工艺中的键合强度,提出了一种键合强度在线检测方法,并基于MEMS叉指式器件工艺介绍了一种新型键合强度检测结构;借助于材料力学的相关知识,推导出了键合强度计算公式,经过工艺实验,获得了键合强度检测数据;对获得的不同键合面积的键合强度加以对比,指出这些数据的较小差异,是由刻度盘最小刻度误差和尺度效应造成的。结合叉指式器件的工作环境,认为这种方法获得的键合强度更接近实际的工作情况。  相似文献   

11.
采用真空键合技术 ,成功地将表面具有深度不同的硅槽或框架结构的硅圆片与另外两个硅圆片贴合形成三层夹心结构 ,经高温退火处理 ,得到一种粘合牢固的硅“三明治”体。这种“三明治”体的上下两个硅片仍可进行IC加工 ,为MEMS传感部分和测试电路的三维一体化集成打下了坚实的基础  相似文献   

12.
Localized bonding schemes for the assembly and packaging of polymer-based microelectromechanical systems (MEMS) devices have been successfully demonstrated. These include three bonding systems of plastics-to-silicon, plastics-to-glass, and plastics-to-plastics combinations based on two bonding processes of localized resistive heating: 1) built-in resistive heaters and 2) reusable resistive heaters. In the prototype demonstrations, aluminum thin films are deposited and patterned as resistive heaters and plastic materials are locally melted and solidified for bonding. A typical contact pressure of 0.4 MPa is applied to assure intimate contact of the two bonding substrates and the localized bonding process is completed within less than 0.25 s of heating. It is estimated that the local temperature at the bonding interface can reach above 150/spl deg/C while the substrate temperature away from the heaters can be controlled to be under 40/spl deg/C during the bonding process. The approach of localized heating for bonding of plastic materials while maintaining low temperature globally enables direct sealing of polymer-based MEMS without dispensing additional adhesives or damaging preexisting, temperature-sensitive substances. Furthermore, water encapsulation by plastics-to-plastics bonding is successfully performed to demonstrate the capability of low temperature processing. As such, this technique can be applied broadly in plastic assembly, packaging, and liquid encapsulation for microsystems, including microfluidic devices.  相似文献   

13.
《Microelectronics Reliability》2014,54(9-10):2039-2043
In this work we present a numerical, multi-scale approach to estimate the strength of a wafer-to-wafer metallic thermo-compression bonding. Following a top-down approach, the mechanical problem is handled at three different length scales. Taking into account control variables such as temperature, overall applied force over the wafer and contact surface roughness, it is shown that the proposed approach is able to provide an estimate of the sealing properties, especially in terms of bonding strength.  相似文献   

14.
This paper reviews wafer-level hermetic packaging technology using anodic bonding from several reliability points of view. First, reliability risk factors of high temperature, high voltage and electrochemical O2 generation during anodic bonding are discussed. Next, electrical interconnections through a hermetic package, i.e. electrical feedthrough, is discussed. The reliability of both hermetic sealing and electrical feedthrough must be simultaneously satisfied. In the last part of this paper, a new wafer-level MEMS packaging material, anodically-bondable low temperature cofired ceramic (LTCC) wafer, is introduced, and its reliability data on hermetic sealing, electrical interconnection and flip-chip mounting on a printed circuit board (PCB) are described.  相似文献   

15.
Development of packaging is one of the critical issues toward realizing commercialization of radio-frequency-microelectromechanical system (RF-MEMS) devices. The RF-MEMS package should be designed to have small size, hermetic protection, good RF performance, and high reliability. In addition, packaging should be conducted at sufficiently low temperature. In this paper, a low-temperature hermetic wafer level packaging scheme for the RF-MEMS devices is presented. For hermetic sealing, Au-Sn eutectic bonding technology at temperatures below 300°C is used. Au-Sn multilayer metallization with a square loop of 70 μm in width is performed. The electrical feed-through is achieved by the vertical through-hole via filling with electroplated Cu. The size of the MEMS package is 1 mm × 1 mm × 700 μm. The shear strength and hermeticity of the package satisfies the requirements of MIL-STD-883F. Any organic gases or contamination are not observed inside the package. The total insertion loss for the packaging is 0.075 dB at 2 GHz. Furthermore, the robustness of the package is demonstrated by observing no performance degradation and physical damage of the package after several reliability tests.  相似文献   

16.
A laser-assisted bonding technique is demonstrated for low temperature region selective processing. A continuous wave carbon dioxide (CO2) laser (λ=10.6 μm) is used for solder (Pb37/Sn63) bonding of metallized silicon substrates (chips or wafers) for MEMS applications. Laser-assisted selective heating of silicon led to the reflow of an electroplated, or screen-printed, intermediate solder layer which produced silicon–solder–silicon joints. The bonding process was performed on fixtures in a vacuum chamber at an air pressure of 10−3 Torr to achieve fluxless soldering and vacuum encapsulation. The bonding temperature at the sealing ring was controlled to be close to the reflow temperature of the solder. Pull test results showed that the joint was sufficiently strong. Helium leak testing showed that the leak rate of the package met the requirements of MIL-STD-883E under optimized bonding conditions and bonded packages survived thermal shock testing. The testing, based on a design of experiments method, indicated that both laser incident power and scribe velocity significantly influenced bonding results. This novel method is especially suitable for encapsulation and vacuum packaging of chips or wafers containing MEMS and other micro devices with low temperature budgets, where managing stress distribution is important. Further, released and encapsulated devices on the sealed wafers can be diced without damaging the MEMS devices at wafer level.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号