首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The dielectric properties and reliability of fluorinated HfO2 have been studied. The fluorinated HfO2 dielectric treated by NF3 plasma showed improved dielectric characteristics but resulted in interfacial layer (IL) regrowth during the fluorine plasma treatment process, which led to an oxide capacitance reduction and poor electrical characteristics. Through the analysis of chemical composition and electrical characteristics, it has been revealed that the Hf-O bonds in HfO2 layer were converted to Hf-F bonds by the plasma treatment and then the dissociated oxygen diffused to the IL. In order to suppress the IL regrowth, newly fluorinated HfO2 has been developed. Reliability of fluorinated HfO2 dielectric was sharply improved without a decrease in the oxide capacitance at fluorine plasma treatment conditions of low power and temperature.  相似文献   

2.
In this paper, we report our recent study of the effect of RuO2 as an alternative top electrode for pMOS devices to overcome the serious problems of polysilicon (poly-Si) gate depletion, high gate resistance and dopant penetration in the trend of down to 50 nm devices and beyond. The conductive oxide RuO2, prepared by RF sputtering, was investigated as the gate electrode on the Laser MBE (LMBE) fabricated HfO2 for pMOS devices. Structural, dielectric and electric properties were investigated. RuO2/HfO2/n-Si capacitors showed negligible flatband voltage shift (<10 mV), very strong breakdown strength (>10 MV cm−1). Compared to the SiO2 dielectric with the same EOT value, RuO2/HfO2/n-Si capacitors exhibited at least 4 orders of leakage current density reduction. The work function value of the RuO2 top electrode was calculated to be about 5.0 eV by two methods, and the effective fixed oxide charge density was determined to be 3.3 × 1012 cm−2. All the results above indicate that RuO2 is a promising alternative gate electrode for LMBE grown HfO2 gate dielectrics.  相似文献   

3.
This paper describes a new method to design a laser mirror with high reflectivity, wide reflection bandwidth and high laser-induced damage threshold. The mirror is constructed by three materials of HfO2/TiO2/SiO2 based on electric field and temperature field distribution characteristics of all-dielectric laser high reflector. TiO2/SiO2 stacks act as the high reflector (HR) and broaden the reflection bandwidth, while HfO2/SiO2 stacks are used for increasing the laser resistance. The HfO2/TiO2/SiO2 laser mirror with 34 layers is fabricated by a novel remote plasma sputtering deposition. The damage threshold of zero damage probability for the new mirror is up to 39.6 J/cm2 (1064 nm, 12 ns). The possible laser damage mechanism of the mirror is discussed.  相似文献   

4.
Al2O3, HfO2, and composite HfO2/Al2O3 films were deposited on n-type GaN using atomic layer deposition (ALD). The interfacial layer of GaON and HfON was observed between HfO2 and GaN, whereas the absence of an interfacial layer at Al2O3/GaN was confirmed using X-ray photoelectron spectroscopy and transmission electron microscopy. The dielectric constants of Al2O3, HfO2, and composite HfO2/Al2O3 calculated from the C-V measurement are 9, 16.5, and 13.8, respectively. The Al2O3 employed as a template in the composite structure has suppressed the interfacial layer formation during the subsequent ALD-HfO2 and effectively reduced the gate leakage current. While the dielectric constant of the composite HfO2/Al2O3 film is lower than that of HfO2, the composite structure provides sharp oxide/GaN interface without interfacial layer, leading to better electrical properties.  相似文献   

5.
Praseodymium and hafnium oxides are prospective candidates to subsitute SiO2 in decanano MOSFET transistors. We report first ab initio pseudopotential band structure calculations for these materials. We find that fluorite phases of PrO2 and HfO2 have similar electronic structures. The important difference is a narrow sub-band forming the conduction band bottom in PrO2 but absent in HfO2. Electrons in this f-type sub-band have large masses. This explains why ultrathin epitaxial Pr oxide films have low leakage in spite of a relatively small conduction band offset (1 eV) between the oxide and the Si substrate.  相似文献   

6.
Metal gate electrodes of sputtered aluminum (Al), titanium nitride (TiN) and nickel aluminum nitride (NiAlN) are investigated in this work. They are compared with respect to their compatibility with metal organic chemical vapor deposited (MOCVD) hafnium dioxide (HfO2) gate dielectrics. TiN, with a midgap work function of 4.65 eV on SiO2, exhibits promising characteristics as metal gate on HfO2. In addition, encouraging results are presented for the ternary metal NiAlN, whereas classic Al electrodes are found unstable in conjunction with HfO2.  相似文献   

7.
The effect of La2O3 incorporation on the spatial trap distribution in HfO2 gate dielectrics is investigated. The incorporation of La2O3 in HfO2 dielectric has been found to improve the effective mobility in addition to reduced interface-state density. The trap distribution analysis in the HfO2 layer extracted by combining the charge pumping (CP) method and the low-frequency noise (LFN) method has revealed significant reduction in the amount of traps at HfO2/SiO2-interlayer interface and in the HfO2 layer by La2O3 incorporation.  相似文献   

8.
Resistive switching behavior of HfO2 high-k dielectric has been studied as a promising candidate for emerging non-volatile memory technology. The low resistance ON state and high resistance OFF state can be reversibly altered under a low SET/RESET voltage of ±3 V. The memory device shows stable retention behavior with the resistance ratio between both states maintained greater than 103. The bipolar nature of the voltage-induced hysteretic switching properties suggests changes in film conductivity related to the formation and removal of electronically conducting paths due to the presence of oxygen vacancies induced by the applied electric field. The effect of annealing on the switching behavior was related to changes in compositional and structural properties of the film. A transition from bipolar to unipolar switching behavior was observed upon O2 annealing which could be related to different natures of defect introduced in the film which changes the film switching parameters. The HfO2 resistive switching device offers a promising potential for high density and low power memory application with the ease of processing integration.  相似文献   

9.
High-κ dielectrics are promising candidates to increase capacitor integration densities but their properties depend on manufacturing process and frequency because relaxation and resonance mechanisms occur. Complementary characterization protocols are needed to analyze high-κ insulator behaviour from DC to microwave frequencies. The extraction of Plasma Enhanced Atomic Layer Deposition HfO2 and ZrO2 complex permittivity was performed up to 5 GHz using dedicated test vehicles allowing an in situ characterization as a function of dielectric thickness. The measurement procedure was thus validated, highlighting the potentiality of these two dielectrics to cover a wide range of frequencies.  相似文献   

10.
Electrical properties of mixed HfO2-Ta2O5 films (10;15 nm) deposited by rf sputtering on Si have been studied from the view point of their applications as high-k layers, by standard capacitance-voltage and temperature dependent current-voltage characteristics. The effect of HfO2 addition to the Ta2O5 is thickness dependent and the thicker layers exhibit advantages over the pure Ta2O5 (higher dielectric constant, enhanced charge storage density and improved interface quality). The process of HfO2 and Ta2O5 mixing introduces negative oxide charge, tends to creates shallow bulk traps and modifies the dominant conduction mechanisms in the stack capacitors as compared to the Ta2O5-based one (a contribution of tunneling processes through traps located below the conduction band of mixed layers to the leakage current in the HfO2-Ta2O5 stacks is observed). The traps involved in both Poole-Frenkel and tunneling processes are identified.  相似文献   

11.
High-κ oxides such as ZrO2 and HfO2 have attracted great interest, due to their physical properties, suitable to replacement of SiO2 as gate dielectric materials. In this work, we investigate the tunneling properties of ZrO2 and HfO2 high-κ oxides, by applying quantum mechanical methods that include the full-band structure of Si and oxide materials. Semiempirical sp3s*d tight-binding parameters have been determined to reproduce ab initio band dispersions. Transmission coefficients and tunneling current have been calculated for Si/ZrO2/Si and Si/HfO2/Si MOS structures, showing a very low gate leakage current in comparison to SiO2-based structures with equivalent oxide thickness.  相似文献   

12.
The HfO2 high-k thin films have been deposited on p-type (1 0 0) silicon wafer using RF magnetron sputtering technique. The XRD, AFM and Ellipsometric characterizations have been performed for crystal structure, surface morphology and thickness measurements respectively. The monoclinic structured, smooth surface HfO2 thin films with 9.45 nm thickness have been used for Al/HfO2/p-Si metal-oxide-semiconductor (MOS) structures fabrication. The fabricated Al/HfO2/Si structure have been used for extracting electrical properties viz dielectric constant, EOT, barrier height, doping concentration and interface trap density through capacitance voltage and current-voltage measurements. The dielectric constant, EOT, barrier height, effective charge carriers, interface trap density and leakage current density are determined are 22.47, 1.64 nm, 1.28 eV, 0.93 × 1010, 9.25 × 1011 cm−2 eV−1 and 9.12 × 10−6 A/cm2 respectively for annealed HfO2 thin films.  相似文献   

13.
The dielectric breakdown property of ultrathin 2.5 and 5.0 nm hafnium oxide (HfO2) gate dielectric layers with metal nitride (TaN) gate electrodes for metal oxide semiconductor (MOS) structure has been investigated. Reliability studies were performed with constant voltage stressing to verify the processing condition effects (film thicknesses and post metal annealing temperatures) on times to breakdown. The leakage current characteristics are improved with post metal annealing temperatures (PMA) for both 2.5 and 5.0 nm HfO2 physical thicknesses. However, it is more prominent (2 orders of magnitudes) for 2.5 nm HfO2 film thickness. The values of oxide-trapped charge density and interface-state density are also improved for 2.5 nm HfO2 film. The different stages of charge-trapping behaviors, i.e., stress-induced leakage current, soft and hard breakdown mechanisms have been detected. During constant voltage stress of the MOS capacitors, an increase in the time-dependent gate current is observed, followed by the occurrence of several fluctuations. The amplitude of the fluctuations is much larger in the 5.0 nm HfO2 gate dielectric layer compared to the 2.5 nm HfO2 layer. After the occurrence of such fluctuations, the current–voltage characteristics exhibited an increased in gate current compared to the fresh (unstressed) devices.  相似文献   

14.
The impact of various rapid thermal annealing used during the integration on the La2O3/HfO2 and HfO2/La2O3 stacks deposited by Atomic Layer deposition was analyzed. The consequences of lanthanum localization in such stacks on the evolution of the films during the rapid thermal annealing are investigated in term of morphology, crystalline structure, silicate formation and film homogeneity as a function of the depth. It appeared that the La2O3 location has an impact on the temperature of the quadratic phase formation which could be linked to the formation of SiOHfLa silicate and the resistance of the films to dissolution in HF 0.05 wt%.  相似文献   

15.
HfO2 dielectric layers were grown on the p-type Si (100) substrate by metal-organic molecular beam epitaxy (MOMBE). Hafnium-tetra-butoxide, Hf(O·t-C4H9)4 was used as a Hf precursor and Argon gas was used as a carrier gas. The thickness of the HfO2 film and intermediate SiO2 layer were measured by scanning electron microscopy (SEM) and high-resolution transmission electron microscopy (HRTEM). The properties of the HfO2 layers were evaluated by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), high frequency (HF) capacitance-voltage (C-V) measurement, and current-voltage (I-V) measurement. C-V and I-V measurements have shown that HfO2 layer grown by MOMBE has a high dielectric constant (k) of 20-22 and a low-level of leakage current density. The growth rate is affected by various process variables such as substrate temperature, bubbler temperature, Ar and O2 gas flows and growth time. Since the ratio of O2 and Ar gas flows are closely correlated, the effect of variations in O2/Ar flow ratio on growth rate is also investigated using statistical modeling methodology.  相似文献   

16.
The physical and electrical properties of hafnium oxide (HfO2) thin films deposited by high pressure reactive sputtering (HPRS) have been studied as a function of the Ar/O2 ratio in the sputtering gas mixture. Transmission electron microscopy shows that the HfO2 films are polycrystalline, except the films deposited in pure Ar, which are amorphous. According to heavy ion elastic recoil detection analysis, the films deposited without using O2 are stoichiometric, which means that the composition of the HfO2 target is conserved in the deposition films. The use of O2 for reactive sputtering results in slightly oxygen-rich films. Metal-Oxide-Semiconductor (MOS) devices were fabricated to determine the deposited HfO2 dielectric constant and the trap density at the HfO2/Si interface (Dit) using the high–low frequency capacitance method. Poor capacitance–voltage (CV) characteristics and high values of Dit are observed in the polycrystalline HfO2 films. However, a great improvement of the electrical properties was observed in the amorphous HfO2 films, showing dielectric constant values close to 17 and a minimum Dit of 2×1011 eV−1 cm−2.  相似文献   

17.
Interaction of HfxTayN metal gate with SiO2 and HfOxNy gate dielectrics has been extensively studied. Metal-oxide-semiconductor (MOS) device formed with SiO2 gate dielectric and HfxTayN metal gate shows satisfactory thermal stability. Time-of-flight secondary ion mass spectroscopy (TOF-SIMS) analysis results show that the diffusion depths of Hf and Ta are less significant in SiO2 gate dielectric than that in HfOxNy. Compared to HfOxNy gate dielectric, SiO2 shows better electrical properties, such as leakage current, hysteresis, interface trap density and stress-induced flat-band voltage shift. With an increase in post metallization annealing (PMA) temperature, the electrical characteristics of the MOS device with SiO2 gate dielectric remain almost unchanged, indicating its superior thermal and electrical stability.  相似文献   

18.
The recently reported inverse silver oxide phase of SiO2 possesses a high dielectric constant as well as lattice constant compatibility to Si. We explore the closely related oxides, GeO2, SnO2 with the same inverse silver oxide structure using ab initio density functional theory within the local density approximation (LDA). According to the phonon dispersion curves, both these structures are computed to be unstable. On the other hand, their alloys Si0.5Ge0.5O2, Si0.5Sn0.5O2, and Ge0.5Sn0.5O2 are stable with higher dielectric constants than that of SiO2 in the same phase. Their first-principles elastic constants, electronic band structures and phonon dispersion curves have been obtained with high precision.  相似文献   

19.
In this work, the (gate) current versus (gate) voltage (IV) characteristics and the dielectric breakdown (BD) of an ultra-thin HfO2/SiO2 stack is studied by enhanced conductive atomic force microscopy (ECAFM). The ECAFM is a CAFM with extended electrical performance. Using this new set up, different conduction modes have been observed before BD. The study of the BD spots has revealed that, as for SiO2, the BD of the stack leads to modifications in the topography images and high conductive spots in the current images. The height of the hillocks observed in the topography images has been considered an indicator of structural damage.  相似文献   

20.
In this work, the electrical properties of fresh and stressed HfO2/SiO2 gate stacks have been studied using a prototype of Conductive Atomic Force Microscope with enhanced electrical performance (ECAFM). The nanometer resolution of the technique and the extended current dynamic range of the ECAFM has allowed to separately investigate the effect of the electrical stress on the SiO2 and the HfO2 layer of the high-k gate stack. In particular, we have investigated this effect on both layers when the structures where subjected to low and high field stresses.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号