首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Dutta  Shankar  Imran  Md  Kumar  P.  Pal  R.  Datta  P.  Chatterjee  R. 《Microsystem Technologies》2011,17(10):1621-1628

Bulk micromachining in Si (110) wafer is an essential process for fabricating vertical microstructures by wet chemical etching. We compared the anisotropic etching properties of potassium hydroxide (KOH), tetra-methyl ammonium hydroxide (TMAH) and ethylene di-amine pyro-catechol (EDP) solutions. A series of etching experiments have been carried out using different etchant concentration and temperatures. Etching at elevated temperatures was found to improve the surface quality as well as shorten the etching time in all the etchants. At 120°C, we get a smooth surface (Ra = 21.2 nm) with an etching rate 12.2 μm/min in 40wt% KOH solution. At 125°C, EDP solution (88wt%) was found to produce smoothest surface (Ra = 9.4 nm) with an etch rate of 1.8 μm/min. In TMAH solution (25wt%), the best surface roughness was found to be 35.6 nm (Ra) at 90°C with an etch rate of 1.18 μm/min. The activation energy and pre-exponential factor in Arrhenius relation are also estimated from the corresponding etch rate data.

  相似文献   

2.
Bulk micromachining in Si (110) wafer is an essential process for fabricating vertical microstructures by wet chemical etching. We compared the anisotropic etching properties of potassium hydroxide (KOH), tetra-methyl ammonium hydroxide (TMAH) and ethylene di-amine pyro-catechol (EDP) solutions. A series of etching experiments have been carried out using different etchant concentration and temperatures. Etching at elevated temperatures was found to improve the surface quality as well as shorten the etching time in all the etchants. At 120°C, we get a smooth surface (Ra?=?21.2?nm) with an etching rate 12.2???m/min in 40wt% KOH solution. At 125°C, EDP solution (88wt%) was found to produce smoothest surface (Ra?=?9.4?nm) with an etch rate of 1.8???m/min. In TMAH solution (25wt%), the best surface roughness was found to be 35.6?nm (Ra) at 90°C with an etch rate of 1.18???m/min. The activation energy and pre-exponential factor in Arrhenius relation are also estimated from the corresponding etch rate data.  相似文献   

3.
SiO2 and Si3N4, are usually used to mask the selected portions during etching of silicon in anisotropic etchants like KOH but polymers are expected to be very good alternative to SiO2 and Si3N4 as masking materials for MEMS applications. An adherent spin coated PMMA layer is reported to work as a mask material. It is a low temperature process, cheaper and films can be easily deposited and removed. One of the problems in its use is its adhesion to the substrate. Our previous experience in the field made us feel that sputtered PMMA will act as better mask because of its better adhesion to silicon. In the present article, a comparative study of spin coated PMMA with sputtered PMMA as an etch mask for silicon micromachining is reported. Structural and adhesive characteristics of the films are determined and compared with those available in the literature. These films deposited on silicon wafer were exposed to anisotropic etchant, KOH, to estimate the masking behavior. The maximum masking time of 32 min in 20 wt.% KOH at 80 °C was obtained for spin coated PMMA samples, which were prebaked at 90 °C. Masking time of sputter deposited PMMA films was found to be 300 min under similar conditions such as 20 wt.% KOH at 80 °C. This masking time is sufficient for fabrication of various MEMS structures, thus indicating candidature of sputtered PMMA as masking material. Various properties of the films are discussed and compared with the ones obtained through literature.  相似文献   

4.
Deep reactive ion etching (DRIE) process is specially invented for bulk micromachining fabrication with the objective of realizing high aspect ratio microstructures. However, various tolerances, such as slanted etched profile, uneven deep beams and undercut, cannot be avoided during the fabrication process. In this paper, the origins of various fabrication tolerances together with its effects on the performances of lateral comb-drive actuator, in terms of electrostatic force, mechanical stiffness, stability and displacement, are discussed. It shows that comb finger with positive slope generates larger electrostatic force. The mechanical stiffness along lateral direction increases when the folded beam slants negatively. The displacement is 4.832 times larger if the comb finger and folded beam are tapered to +1° and −1°, respectively. The uneven deep fingers generate an abrupt force and displacement when the motion distance reaches the initial overlap length. The undercut reduces both the driving force and the mechanical stiffness of the lateral comb-drive actuator. The fabricated comb-drive actuator, with comb finger of +1° profile and 0.025 μm undercut, and folded beam of −1° slope and 0.075 μm undercut, is measured and compared with the models where both show consistent results. These analytical results can be used to compensate the fabrication tolerances at design stage and allow the actuators to provide more predictable performance.  相似文献   

5.
A simple, high yield method for the fabrication of cantilever with nano-silicon-tip by wet etching for atomic force microscopy (AFM) applications is described in this paper. The nano-silicon-tips with well controlled dimensions are fabricated by self-sharpening anisotropic wet etching technologies using a special pentagon etch mask design. The spring constant of the cantilever according to demand can be easily realized by changing the design of the etch mask and tuning the etching time in the fabrication process. A fabrication yield as high as 90 % has been realized for the AFM probes on 2 inch wafers. The height of tips on the cantilever is 10–15 μm, and the apex of each nano-silicon-tip typically has a radius of curvature of 5–10 nm. The cantilever’s spring constant can be well controlled within the range of 0.8–120 N m?1. The fabricated AFM probes are capable of generating high quality AFM image comparable with the commercial probes available in our lab.  相似文献   

6.
This study presents a bulk micromachining fabrication platform on the (100) single crystal silicon substrate. The fabrication platform has employed the concept of vertical corner compensation structure and protecting structure to integrate the wet anisotropic etching and DRIE processes. Based on the characteristics of wet anisotropic etching and DRIE, various MEMS components are demonstrated using the bulk micromachining platform. For instance, the free suspended thin film structures and inclined structures formed by the {111} crystal planes are fabricated by the wet etching. On the other hand, the mesas and cavities with arbitrary shapes and the structures with different leve l heights (or depths) are realized by the characteristics of DRIE. Since the aforementioned structures can be fabricated and integrated using the presented fabrication platform, the applications of the bulk micromachining processes will significantly increase.This research is based on the work supported by WALSIN LIHWA Corporation and the National Science Council of Taiwan under grant of NSC-91–2218-E-007–034. The authors would like to thank the Central Regional MEMS Research Center of National Science Council, Semiconductor Research Center of National Chiao Tung University and National Nano Device Laboratory for providing the fabrication facilities.  相似文献   

7.
This paper presents a simple method to produce microfluidic channels in soda-lime glasses with the aspect ratio >0.5 utilizing a modified wet etching protocol. A low-cost positive photoresist (PR) layer is used as the etching mask for the wet etching process. Prior to the PR and primer coating procedure, a UV activation process is adopted for enhancing the binding strength of the hexamethyldisilazane primer layer and the glass substrate, resulting in an better adhesion for the PR layer. A fast etching recipe is also developed by increasing the acidity and the temperature of the buffered oxide (BOE) etchant. Since the photoresist etching mask does not peel during the etching process shortly, the structure of the etching mask forms a barrier and results in a different diffusion rate for the etchant inside the etched trench structure. A slower etching rate for the glass is observed at the undercut region such that the proposed anisotropic etching pattern can be achieved. Results show that the etching rate of the modified glass etching process is as high as 7.7 μm/min which is much faster than that of pure BOE etchant (0.96 μm/min). Sealed microfluidic channel with the aspect ratio of around 0.62 is produced with the developed method. The method developed in the present study provides a rapid and efficient way to produce microfluidic channels with higher aspect ratio.  相似文献   

8.
We aimed to produce differently shaped pyramids, that is, eight-sided, triangular, and rhombic pyramids, on the same Si{100} wafer by simply changing mask patterns. A triangular pyramid has an advantage in that it can always become sharp because its vertex becomes a point and is not affected by fabrication errors. A rhombic pyramid that looks like an arrow head was designed to decrease the insertion friction and scar area even if it is inserted deeply into the skin. Triangular and H-shaped etching mask patterns were designed to produce triangular and rhombic pyramid structures on Si{100} on the basis of eight-sided pyramid formation. Both triangular and rhombic pyramids were successfully fabricated as new MEMS structures by applying TMAH anisotropic wet etching (25.0 wt%, 70 °C). A sharp tip with a radius of less than a few hundred nm was obtained in both the triangular and rhombic Si pyramids.  相似文献   

9.
A new diffusion-based simulation model of isotropic wet etching and free-form surface characterization method for 3-D free-form microelectromechanical systems (MEMS) fabrication is presented in this paper. To simulate the etching process, a diffusion-based model solved by the finite-element method (FEM) has been developed, allowing extraction of more accurate etch-front data at discrete time steps. In the developed method, free-form MEMS objects are modeled as B-spline functions with material concentration. Finite elements are generated by discretization in the parametric domain of the free-form object and mapping back to the Euclidean space. Points on the etch front are extracted using a Z-map method. The extracted point data are characterized to obtain a B-spline representation of the etch-front surface. Examples from the isotropic etching simulation of 2-D and 3-D objects with both regular and free-form geometry are presented. The developed method allows the simulation of 3-D objects with free-form input and free-form mask opening and facilitates the simulation of sequential etching of free-form objects with irregular mask openings. This paper also discusses applications of the developed method in MEMS process planning that can be realized by taking advantage of the better control of geometry that it provides in MEMS fabrication.  相似文献   

10.

This present work reports on the study of controllable aluminium doped zinc oxide (AZO) patterning by chemical etching for MEMS application. The AZO thin film was prepared by RF magnetron sputtering as it is capable of producing uniform thin film at high deposition rates. X-Ray diffraction (XRD) and atomic force microscopy (AFM) characterization were done to characterize AZO thin film. The sputtered AZO thin film shows c-axis (002) orientation, low surface roughness and high crystalline quality. To pattern AZO thin film for MEMS application, wet etching was chosen due to its ease of processing with few controlling parameters. Four etching solutions were used namely: 10 % Nitric acid, 10 % Phosphoric acid, 10 % Acetic acid and Molybdenum etch solutions. For the first time, chemical etching using Molybdenum etch that consist of a mixture of CH3COOH, HNO3 and H3PO4 was characterized and reported. The effect of these acidic solutions on the undercut etching, vertical and lateral etch rate were studied. The etched AZO were characterized by scanning electron microscopy (SEM) and stylus profilometer. The investigations showed that the Molybdenum etch has the lowest undercut etching of 7.11 µm, and is highly effective in terms of lateral and vertical etching with an etch ratio of 1.30. Successful fine patterning of AZO thin films was demonstrated at device level on a surface acoustic wave resonator fabricated in 0.35 μm CMOS technology. The AZO thin film acts as the piezoelectric thin film for acoustic wave generation. Patterning of the AZO thin film is necessary for access to measurement probe pads. The working acoustic resonator showed resonance peak at 1.044 GHz at 45.28 dB insertion loss indicating that the proposed Molybdenum etch method does not adversely affect the device’s operating characteristics.

  相似文献   

11.
Two dry subtractive techniques for the fabrication of microchannels in borosilicate glass were investigated, plasma etching and laser ablation. Inductively coupled plasma reactive ion etching was carried out in a fluorine plasma (C4F8/O2) using an electroplated Ni mask. Depth up to 100 μm with a profile angle of 83°–88° and a smooth bottom of the etched structure (Ra below 3 nm) were achieved at an etch rate of 0.9 μm/min. An ultrashort pulse Ti:sapphire laser operating at the wavelength of 800 nm and 5 kHz repetition rate was used for micromachining. Channels of 100 μm width and 140 μm height with a profile angle of 80–85° were obtained in 3 min using an average power of 160 mW and a pulse duration of 120 fs. A novel process for glass–glass anodic bonding using a conductive interlayer of Si/Al/Si has been developed to seal microfluidic components with good optical transparency using a relatively low temperature (350°C).  相似文献   

12.
The fabrication of 45° micromirrors by silicon anisotropic etching in potassium hydroxide (KOH) and tetramethylammonium hydroxide (TMAH) solutions containing organic additives is investigated in this paper. The reflective planes are formed by {110} sidewall planes inclined at 45° towards the Si (100) wafer. Isopropyl alcohol and Triton X-100 surfactant are used as additives, because they are supposed to provide the etch rate ratio R(100)/R(110) > 1, which is necessary for {110} sidewalls development. The fabricated spatial microstructures with 45° sidewalls are examined in terms of the {110} surface roughness and the quality of the {110} sidewall profile. The KOH solution saturated with the alcohol gives the striped {110} surface, though the stripes almost disappear after addition of Triton surfactant to KOH and TMAH etchants. The 45° sidewall profiles fully defined by {110} planes are obtained in KOH as well as TMAH solutions containing additives. The measurements of micromirrors’ reflectivity indicate that replacement of the alcohol by Triton surfactant in the KOH solution reduces the optical power loss caused by the reflection. The achieved reflectivity is comparable with the one obtained by etching in the TMAH solution with surfactant.  相似文献   

13.
This paper presents a deep reactive-ion etching (DRIE)-based post-CMOS micromachining process that provides robust electrically isolated single-crystal silicon (SCS) microstructures for integrated inertial sensors. Several process issues arise from previously reported three-axis CMOS microelectromechanical system (MEMS) accelerometers, including sidewall contaminations of SCS microstructures in plasma etch and a severe silicon undercut caused by overheating of suspended microstructures. Solutions to these issues have been found and are discussed in detail in this paper. In particular, a lumped-element model is developed to estimate the temperature rise on suspended microstructures in a silicon DRIE process. Based on the thermal modeling and experiments, a thick photoresist layer has been used as a thermal path to avoid the severe silicon undercut. The sidewall contamination problem is also eliminated using the modified CMOS-MEMS process. A three-axis accelerometer with a low-noise, low-power on-chip amplifier has been successfully fabricated using the new process. Footing effect was observed on the backside of the sensor microstructure, but it has little effect on the structural integrity and sensitivity of the sensor.  相似文献   

14.
The emphasis on high aspect ratio micromachining techniques for microsystems/MEMS has been mainly to achieve novel devices with, for example, high sensing or actuation performance. Often these utilize deep structures (100–1,000 μm) with vertical wall layers but with relatively modest spatial resolution (1–10 μm). As these techniques move from research to industrial manufacture, the capital cost of the equipment and the cost of device manufacture become important, particularly where more than one micromachining technique can meet the performance requirements. This paper investigates the layer-processing costs associated with the principal high aspect ratio micromachining techniques used in microsystems/MEMS fabrication, particularly silicon surface micromachining, wet bulk etching, wafer bonding, Deep Reactive Ion Etching, excimer laser micromachining, UV LIGA and X-ray LIGA. A cost model (MEMSCOST) has been developed which takes the financial, operational and machine-dependent parameters of the different manufacturing techniques as inputs and calculates the layer-processing costs at the wafer and chip level as a function of demand volume. The associated operational and investment costs are also calculated. Cost reductions through increases in the wafer size and decreases in chip area are investigated, and the importance of packaging costs demonstrated.  相似文献   

15.
This paper presents a new research study on the fabrication of a fluorescence bio-detection chip with an optical fiber transmission platform. Anisotropic wet etching on (100) silicon wafers to fabrication V-groove for optical fiber alignment and micro-mirror were included. Combined with anodic bonding technique to adhere glass, silicon structure and optical fiber for a fluorescence excitation platform was completed. In this study, a 40% KOH etching solution was used to study the parameter effect. The results show that the working temperature is the main parameter that significantly effects the etch rate. The anisotropic etching resulted in 54.7° reflective mirrors and the reflectivity for the optical beam was also examined. The surface roughness of the micro-mirror is Ra 4.1 nm measured using AFM providing excellent optical reflection. The incident light and beam profiles were also examined for further study. This study shows that this micro-platform is adaptable for fluorescence bio-detection.  相似文献   

16.
The on-chip microcapillary applying for electrophoresis analysis device has been fabricated on photosensitive glass. This process can make a high aspect-ratio channel structure. The channel-depth can be controlled by varying wet-etching time without widening the channel width because the substrate material has vertical high etch rate selectivity. This high aspect-ratio structure obtains a long optical path and appropriate sample volume resulting in high-sensitivity for various analyses. The fabrication process is simpler than a usual glass micromachining process because of photo-resistless lithography process. In order to smooth the channel surface and to attach a cover glass onto the substrate, a polysilazane coating process is proposed and revealed to be effective. We are indebted to Dr. N. Sawanobori (SUMITA OPTICAL GLASS, INC., Japan) for photosensitive glass and Dr. T. Ishikawa (TONEN CORPORATION, Japan) for polysilazane. They have given valuable advice for fabrication process in this study. This work was partially supported by the Grant-in-Aid for Science Research (No. 13450146) from the Japan Society for the Promotion of Science (JSPS).  相似文献   

17.
18.
玻璃湿法深刻蚀掩模常采用低压化学气相沉积(LPCVD)多晶硅、Cr/Au金属层+光刻胶等,但往往会在玻璃中引入应力,影响后期应用(如阳极键合),而且Cr/Au金属层价格昂贵。为避免以上缺点,引入了SX AR—PC 5000/40保护胶+WBR2075干膜作为玻璃的刻蚀掩模,在HF︰NH4F,HF︰HCl,HF︰HCl︰NH4F刻蚀溶液中进行了大量实验。实验结果表明:SX AR—PC 5000/40抗腐蚀能力强,且成功实现了对Pyrex 7740玻璃131μm的深刻蚀。整个工艺过程与IC工艺兼容,可以进行圆片级批量加工。实验结果对圆片级封装和其他MEMS器件的制作有一定参考作用。  相似文献   

19.
Improvement of the mechanical strength of Si structures by applying chemical wet etching was investigated. The cantilever specimens that have a sidewall surface of Si{110} were produced by a Bosch process with a resist mask. The typical height and pitch of the scalloping formed on the sidewall were 245 and 891 nm, respectively. To improve mechanical strength of the cantilever, 50 % KOH (40 °C) chemical wet etching was applied to reduce the scalloping. The cantilevers with and without chemical etching applied were bent laterally by using a manipulator under an optical microscope. The maximum stresses in the cantilever at the fracture were increased by applying chemical etching. The increment ratio of the stress in Si{110} specimens was 1.7–1.8 times. Cantilevers with and without chemical etching applied showed no big differences in terms of the fracture configurations.  相似文献   

20.
This paper presents the application of the powder blasting technique for the fabrication of a low temperature co-fired ceramic package that is to host an optical sensor device. The package consists of several layers of green tape ceramic featuring aperture sizes ranging from 3 to 0.1 mm in diameter. These layers have been patterned using fine alumina particles of 9 μm in diameter in combination with an electroplated nickel mask coated with a protective layer. The latter is based on a photosensitive material laid down on top of the metallic surface, exposed and developed to free the metal apertures from the coating. The results show that the wide range of apertures size present in the mask can be used to machine the green ceramic. The quality of the structures is smooth and vias shapes are perfectly circular. These results were obtained at 50 psi with a flow rate of 0.20 g/s for a distance of 20 mm between the tip of the nozzle and the substrate.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号