首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 62 毫秒
1.
Various ultrathin oxynitride gate dielectrics of similar thickness (~1.2 nm) fabricated by a combination of an in situ steam generated and remote plasma nitridation treatment (RPN), an RPN with rapid thermal NO annealing (RPN-NO), and an RPN with rapid thermal O2 annealing (RPN-O2) are reported in this paper. The RPN-NO gate dielectric films show superior interface properties including relatively high nitrogen concentration near the poly-Si/oxide interface and smooth interfaces, excellent electrical characteristics in terms of lower leakage current, better electron and hole channel mobility, higher drive current, and significantly improved reliability such as stress-induced leakage current, hot carrier injection, and negative bias temperature instability, compared to other gate dielectrics fabricated by different processes.  相似文献   

2.
Balancing gate leakage reduction, device performance, and gate dielectric reliability is a major challenge for oxynitride used as a gate dielectric for advanced technology. As compared to RTONO oxynitride, pMOSFET threshold voltage shift and transconductance degradation have been problematic for devices using remote plasma nitridation (RPN) or decoupled plasma nitridation (DPN) process due to non-optimal nitrogen profile in the film. In this paper, we report that the nitrogen profile of DPN gate dielectric can be engineered primarily by tuning the plasma pressure after optimizing other DPN process parameters to solve these problems. An EOT of 15 /spl Aring/ (23-/spl Aring/ NMOS CETinv) DPN oxynitride is demonstrated to have an acceptable pMOS Vt, comparable transconductance, significantly (/spl sim/30/spl times/) longer pMOS time-to-breakdown reliability for packaged devices, and 5/spl times/ gate leakage reduction relative to a high quality RTONO used in industry. The high quality ultrathin DPN film is fabricated in a commercially available system, which is compatible with standard CMOS processing technology. These encouraging results make high-pressure DPN oxynitride an attractive gate dielectric candidate for 80-nm advanced technology and beyond.  相似文献   

3.
Reoxidation of an oxynitride gate dielectric grown by NO anneal of thermal oxide has been studied. This process has demonstrated ~3-5X improvement of QBD of active edge intensive capacitors in comparison to thermal oxide, N2O and NO oxynitride. This improvement is believed to be due to the reduction of local thinning of the gate dielectric at the field oxide edge which also reduces local build-up of positive charge near the gate electrode at the isolation edges  相似文献   

4.
Electrical properties of MOSFETs with gate dielectrics of low-pressure chemical-vapor-deposited (LPCVD) SiO2 nitrided in N2O ambient are compared to those with control thermal gate oxide. N2O nitridation of CVD oxide, combines the advantages of interfacial oxynitride growth and the defectless nature of CVD oxide. As a result, devices with N2O-nitrided CVD oxide show considerably enhanced performance (higher effective electron mobility), improved reliability (reduced charge trapping, interface state generation, and transconductance degradation), and better time-dependent dielectric breakdown (TDDB) properties (tBD ) compared to devices with control thermal oxide  相似文献   

5.
Hot carrier immunity (HCI) of single drain (SD) and lightly doped drain (LDD) n-MOSFET's with gate oxide and N2O gate oxynitride was compared. Gate oxynitride shows better HCI than gate oxide in SD devices but comparable in LDD devices. We show that oxide grown during the poly-silicon oxidation process after gate poly-silicon definition plays an important role in determining the hot carrier resistance of LDD n-MOSFET's with N2O gate oxynitride  相似文献   

6.
In this paper, we developed a new method to grow robust ultrathin oxynitride (EOT=18 A) film with effective dielectric constant of 7.15. By NH3-nitridation of Si substrate, grown ultrathin Si3N4 With N2O annealing shows excellent electrical properties in terms of significant lower leakage current, very low bulk trap density and trap generation rate, and high endurance in stressing. In addition, this oxynitride film exhibits relatively weak temperature dependence due to a Fowler-Nordheim (FN) tunneling mechanism. This dielectric film appears to be promising for future ultralarge scale integrated (ULSI) devices  相似文献   

7.
The systematic investigation of hole tunneling current through ultrathin oxide, oxynitride, oxynitride/oxide (N/O) and oxide/oxynitride/oxide (ONO) gate dielectrics in p-MOSFETs using a physical model is reported for the first time. The validity of the model is corroborated by the good agreement between the simulated and experimental results. Under typical inversion biases (|VG|<2 V), hole tunneling current is lower through oxynitride and oxynitride/oxide with about 33 at.% N than through pure oxide and nitride gate dielectrics. This is attributed to the competitive effects of the increase in the dielectric constant, and hence dielectric thickness, and decrease in the hole barrier height at the dielectric/Si interface with increasing with N concentration for a given electrical oxide thickness (EOT). For a N/O stack film with the same N concentration in the oxynitride, the hole tunneling current decreases monotonically with oxynitride thickness under the typical inversion biases. For minimum gate leakage current and maintaining an acceptable dielectric/Si interfacial quality, an N/O stack structure consisting of an oxynitride layer with 33 at.% N and a 3 Å oxide layer is proposed. For a p-MOSFET at an operating voltage of -0.9 V, which is applicable to the 0.7 μm technology node, this structure could be scaled to EOT=12 Å if the maximum allowed gate leakage current is 1 A/cm2 and EOT=9 Å if the maximum allowed gate leakage current is 100 A/cm2  相似文献   

8.
In this paper, a method to grow robust ultrathin (EOT=28 Å) oxynitride film with effective dielectric constant of 5.7 is proposed. Samples, nitridized by NH3 with additional N2O annealing, show excellent electrical properties in terms of very low bulk trap density, low trap generation rate, and high endurance in stressing. This novel dielectric appears to be very promising for future ULSI devices  相似文献   

9.
Different oxynitride gate dielectrics (NH3-nitrided, reoxidized NH3-nitrided, N2-annealed NH3-nitrided, and N2O grown oxides) are investigated for use in p+-polysilicon gate MOS devices. The comparison is based on flatband voltage shift as well as decrease in inversion capacitance. Results show that NH3-nitrided and N 2-annealed NH3-nitrided oxides best suppress the boron penetration and, consequently, these two undesirable effects. These findings are explained on the basis of the distribution of nitrogen in various oxynitride dielectrics  相似文献   

10.
Submicrometer MOSFETs with ultrathin oxynitride gate dielectric grown in pure N2O ambient were studied. The peak mobility of oxynitride is 5% lower than that of control oxide. However, the oxynitride shows 10% less mobility degradation under high normal field. Compared with the control oxide device, the oxynitride device shows significantly less degradation under channel hot-electron stress. The lifetime of the oxynitride device is approximately one order of magnitude longer than that of the control oxide sample. Significant improvement of device reliability is due to the nitrogen incorporation during the oxidation process  相似文献   

11.
Plasma-charging damage on gate dielectrics of MOS devices is an important issue because of shrinking dimension, plasma nonuniformity, and effects on high-k gate dielectrics. A comprehensive study of plasma-charging effects on the electrical properties of MOS devices was investigated in this work. Shunt diodes were used to estimate the charging polarity distribution. For high-frequency application, the 1/f noise was found to be a promising index for assessing plasma-charging damage. Gate oxynitride formed by two-step nitridation was demonstrated to have better electrical reliability as compared to the conventional one-step nitridation, especially accompanied by amorphous silicon gate electrode. This improvement could be attributed to the relaxation of interface stress by amorphous silicon gate electrode and the suppression of hydrogen effects by gate oxynitride using two-step nitridation. Plasma-charging damage on Si3N4 and Ta2O5 gate dielectrics with high dielectric constant was also investigated. For MOS devices with Si3N4 film, the leakier characteristic and shorter time to breakdown reveal its inferior reliability. For MOS devices with Ta2O5 gate dielectric, the trap-assisted current mechanism makes a thicker physical thickness of Ta2O5 film more susceptible to plasma-charging-induced damage. Smaller physical thickness of Ta2O5 film in MOS devices is favorable due to the better reliability and comparable plasma-induced electrical degradation  相似文献   

12.
Furnace nitridation of thermal SiO2 in pure N2 O ambient for MOS gate dielectric application is presented. N2O-nitrided thermal SiO2 shows much tighter distribution in time-dependent dielectric breakdown (TDDB) characteristics than thermal oxide. MOSFETs with gate dielectric prepared by this method show improved initial performance and enhanced device reliability compared to those with thermal gate oxide. These improvements are attributed to the incorporation of a small amount of nitrogen (~1.5 at.%) at the Si-SiO2 interface without introducing H-related species during N2O nitridation  相似文献   

13.
In this paper, we have developed high-k Pr2O3 poly-Si thin-film transistors (TFTs) using different N2O plasma power treatments. High-k Pr2O3 poly-Si TFT devices using a 200-W plasma power exhibited better electrical characteristics in terms of high effective carrier mobility, high driving current, small subthreshold slope, and high ION/IOFF current ratio. This result is attributed to the smooth Pr2O3/poly-Si interface and low interface trap density. Pr2O3 poly-Si TFT with a 200-W N2O plasma power also enhanced electrical reliabilities such as hot carrier and positive bias temperature instability. All of these results suggest that a high-k Pr2O3 gate dielectric with the oxynitride buffer layer is a good candidate for high-performance low-temperature poly-Si TFTs.  相似文献   

14.
Ultrathin oxynitride using plasma assisted deposition was evaluated against thermal oxide and nitrided thermal oxide as an alternative direct tunneling gate dielectric to thermal oxide in the 2.5-nm regime. The oxynitride showed an enhanced high field effective mobility relative to the thermal oxide although the low field mobility was slightly depressed. The N2O nitrided oxide showed an enhanced high field effective mobility with no degradation in low field mobility. The interface state density of the oxynitride was equivalent to that of the thermal and nitrided thermal oxides; a very welcome observation for this deposition chemistry and anneal conditions  相似文献   

15.
Highly reliable thin oxynitride layers of very good Si-SiO2 interface endurance were grown on silicon wafers with a split N 2O cycle (N2O/O2/N2O) employing rapid thermal processing (RTP). Excellent electrical characteristics with reduced positive charge generation, electron trapping and/or interface state generation were achieved under high field stressing compared to pure N2O dielectric  相似文献   

16.
MOSFET's with ultrathin (5 to 8.5 nm) silicon oxynitride gate film prepared by low-pressure rapid thermal chemical vapor deposition (RTCVD) using SiH4, N2O and NH3 gases, are studied by low-frequency noise measurements (1 Hz up to 5 kHz). The analysis takes into account the correlated mobility fluctuations induced by those of the interfacial oxide charge. The nitrogen concentration, determined from SIMS analysis, varies from 0 to 11% atomic percentage. A comparison of the electrical properties between thermal and silicon oxynitride films is presented. The increasing LF noise signal with nitrogen atomic percentage indicates the presence of a higher density of slow interface traps with increasing nitrogen incorporation. Besides, a higher Coulomb scattering rate due to the nitridation induced interface charge explains reasonably well the degradation of the low field mobility after nitridation  相似文献   

17.
A novel technique of N2O treatment on NH3-nitrided oxide is used to prepare thin gate oxide. Experiments on MOS capacitors and nMOSFET's with this kind of gate dielectric show that N2O treatment is superior to conventional reoxidation step in suppressing both electron and hole trappings and interface trap creation under high-field stress. Interface hardness against hot-carrier bombardment and neutral electron trap generation are also improved. Thus, N2O treatment on NH3 -nitrided oxide shows excellent electrical and reliability properties, while maintaining sufficiently high nitrogen concentration in gate oxide which offers good resistance to dopant penetration  相似文献   

18.
Electrical properties of high quality ultra thin nitride/oxynitride(N/O)stack dielectrics pMOS capacitor with refractory metal gate electrode are investigated,and ultra thin (<2 nm= N/O stack gate dielectrics with significant low leakage current and high resistance to boron penetration are fabricated.Experiment results show that the stack gate dielectric of nitride/oxynitride combined with improved sputtered tungsten/titanium nitride (W/TiN) gate electrode is one of the candidates for deep sub-micron metal gate CMOS devices.  相似文献   

19.
The properties of oxynitride gate dielectrics formed using a low-pressure, rapid thermal chemical vapor deposition (RTCVD) process with SiH4, NH3, and N2O as the reactive gases are presented. Material analyses show an increase of uniform nitrogen and interfacial hydrogen content with increasing NH3/N2O flow rate ratio. MOS capacitors with both n-type and p-type substrates and both n-channel and p-channel MOSFETs were analyzed electrically. The results show increasing fixed oxide charge and interface state density with increasing nitrogen and hydrogen content in the film. A decrease in peak transconductance and improved high-field transconductance was observed for n-channel MOSFETs. Improved resistance to hot-carrier interface state generation was also observed with increasing nitrogen concentration in the films. The results suggest that an optimal nitrogen concentration of approximately 3 at.% can be considered for further development of this technology  相似文献   

20.
Thermal stability and strain relaxation temperature of strained Si 0.91Ge0.09 layers has been investigated using double crystal x-ray diffraction (DCXRD). High quality gate oxynitride layers rapid thermally grown on strained Si0.91Ge0.09 using N2O and the split N2O cycle technique below the strained relaxed temperature is reported. A positive fixed oxide charge density was observed for N2O and split-N2 O grown films. The O2 grown films exhibit a negative fixed oxide charge. The excellent improvements in the leakage current, breakdown field and charge-to-breakdown value of the N2O or split-N2O grown films were achieved compared to pure O2 grown films  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号