首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 156 毫秒
1.
卫星数传基带数据接收是卫星数传系统测试的基础。通过对USB3.0总线协议的分析,提出了一种基于USB3.0总线的卫星数传基带数据接收模块的设计方法。该模块以FPGA和USB 3.0接口芯片(CYUSB3014)为核心,采用FPGA实现数据流的同步、加扰、RS译码操作,采用USB3.0接口芯片实现数传数据接收。经试验证明,该模块结合PC机,数据接收速率可到2.1Gbps,与主流基于CPCIe\VPX高速串行总线的数据接收平台相比,成本降低显著,便携性高。  相似文献   

2.
基于W5300和FPGA的实时数据采集系统设计   总被引:4,自引:1,他引:3  
为实现数据采集的实时传输和远程控制,设计并实现了基于W5300和FPGA的实时数据采集系统。系统选用W5300搭建网络模块,采用TCP协议与远程上位机通信,控制以AD7357为核心的A/D模块进行数据采集。通过对系统稳定性和准确性的反复测试,最终可实现两路A/D以1.5 MS/s采样率对50 Hz750 kHz信号的准确采样并向远程上位机实时传输数据。  相似文献   

3.
针对现有的分布式光纤应变监测系统数据采集量大、存储空间有限、无法远程监控等问题,设计了基于云数据处理的分布式光纤应变远程监测系统。首先,基于ADO数据库访问技术设计了数据库访问编程接口,使上位机程序可通过该编程接口将数据传输至远程云数据库,解决了上位机存储空间有限的问题。其次,利用多线程机制设计了上位机程序多线程并发访问数据库模块,实现了数据实时高效的传输。最后,基于ORACLE设计了数据库系统方案,将数据库搭建在云服务器端,用于存储和分析MFC程序采集处理的监控数据和异常信息,实现了云数据的实时处理和远程监控。实验测试结果表明,上位机与云数据库之间的数据交互速度满足并发和实时监测的需求。  相似文献   

4.
为检验采集模块输出的PCM码,设计基于FPGA的PCM码收发模块,通过设计模拟PCM码源、PCM码解码接收模块,自行判断输出和接收的正确性,收发模块逻辑功能由FPGA完成。解码接收后的数据经USB接口回传至上位机,通过上位机软件检验PCM码收发模块工作情况。  相似文献   

5.
针对数据采集系统中上位机无法与多节点采集设备高速通信的问题,设计了一种基于MLVDS接口和USB3. 0接口的数据传输系统。该传输系统采用CYUSB3014接口芯片实现计算机与FPGA的高速数据传输,采用ADN4693E接口芯片完成多节点数据传输,以FPGA作为核心控制器,并基于MLVDS自定义协议解析多节点通信逻辑,实现MLVDS接口与USB3.0接口之间的数据交互。测试结果表明,该系统数据转换结果准确、可靠,实现了上位机与多节点数据采集设备间的高速通信。  相似文献   

6.
设计了一种可实现对实时数据进行采集、传输、存储以及数据显示与处理功能的测试系统.硬件采用的是FPGA的控制单元,通过AD芯片转换和以太网模块将数据传输给计算机,利用MFC制作的上位机软件进行数据分析、采集和存储.上位机通过协议对下位机FPGA系统进行控制.测试结果表明:该系统对MEMS加速度传感器的数据能精确地显示、采集.  相似文献   

7.
设计一种采用FPGA的数据采集传输系统.以FPGA为逻辑控制核心,通过RS485接口接收上位机指令,数据传输采用SDLC(同步数据链路控制)协议以提高可靠性.FPGA采集六路AD数据以及八路温度数据,这些数据经由DSP处理之后存储至FLASH中.FPGA根据上位机指令,读取FLASH中相应的数据并通过RS485接口上传至上位机.经系统测试验证,该设计能够满足技术要求,数据的采集、存储、传输均正确,为后续工作提供了保障.  相似文献   

8.
为了满足瞬变电磁探测中晚期电磁信号采集的要求,选择高性能24位模数转换器AD7762,以FPGA为控制核心实现信号的高精度采集,结合USB2.0接口芯片CY7C68013-A,将采集的数据高速传输至上位机,上位机采用LabVIEW作为开发平台,完成数据显示和分析功能。实验结果表明,基于FPGA的电磁信号采集系统具有良好的性能指标和扩展性,测量准确,能够满足电磁探测数据采集的要求。  相似文献   

9.
针对多FPGA远程更新系统中上位机接口单一,以及多个副板卡FPGA接口单一且不一致导致的FPGA远程更新复杂问题,提出了基于Crossbar的多系统FPGA通用远程更新设计,以主板卡FPGA内置的Crossbar总线交互结构为基础,搭建了以UART、Eth100和USB2.0作为上位机接口,UART、SRIO和Aurora作为主副板卡之间接口的远程更新系统。通过上位机进行Crossbar寄存器配置,使得任意输入端接口均可连接至某一输出端接口,即上位机可通过任意接口类型对某一副板卡FPGA进行远程更新,且可以同时操作。测试结果表明,该系统通用性和实用性强、便于移植,且可同步更新多个FPGA,在工程应用中起到了重要作用。  相似文献   

10.
为实现对某飞行设备进行模拟飞行测试,需要对大量的飞行仿真数据进行快速存储,因此提出一种基于eMMC (em-beded MultiMedia Card)阵列的高速大容量数据的存储设计;设计选用FPGA (field programmable gate array)作为逻辑控制核心,通过控制其内部IP核GTX (Gigabit Transceiver)实现与外部设备的通讯,为匹配数据的传输速率,采用缓存模块对GTX模块输出的并行数据进行缓存,依据缓存大小来控制eMMC阵列控制器模块对eMMC阵列进行写入;系统上电后,GTX模块及eMMC阵列控制器模块自动进入初始化流程,上位机通过RS422接口发送启动命令给FPGA,当FPGA检测到各模块初始化完成标志后,通过RS422接口上传指令回应帧并启动数据的采集存储;数据存储完成后,为验证数据传输存储的正确性,上位机发送读取命令对数据进行回读;经验证,回读数据正确,无丢帧错帧的现象,数据传输稳定可靠,数据存储速率为2.4 Gbps;系统设计正确,为模拟飞行测试提供了可靠的保障.  相似文献   

11.
实时控制网络是新型网络化、智能化工业装备的重要支撑技术。在研究POWERLINK实时工业以太网协议的基础上,以FPGA为核心,设计和实现了一个实时无线通信嵌入式硬件节点。其中,以FPGA作为实时网络协议栈处理单元,采用并行接口与主控单元实现高速数据交互,并基于典型射频模块实现无线数据传输接口,可支持高速无线数据传输。通过所集成POWERLINK IP核的实时链路层管理机制,实现了工业网络中多节点间数据的无线实时传输。  相似文献   

12.
基于FPGA的智能串口模块用于扩展3U Comapct PCI工业控制计算机的RS232串行接口。智能串口模块在硬件设计采用FPGA,在FPGA内部实现NiosⅡ软核,作为处理器实现串行通讯的智能控制功能,通过设计通用异步串行控制器(UART)IP核,实现串行接口的通讯协议,使模块具集成度高、有可灵活配置性、易功能扩展等优点,模块采用处理器管理串行接口的数据收发,从而提高了串行接口数据传输的稳定性,降低了在传输过程中出现数据丢失现象的几率。  相似文献   

13.
提出了一种基于微处理器STM32F107和Si4432的高性能低功耗无线收发平台的轻轨深基坑参数智能采集与传输模块的设计方案。模块之间通信采用无线Mesh网络的可预测无线路由协议(PWRP),结合通用无线分组业务(GPRS)技术,实现了轻轨深基坑参数的数据智能采集及实时远距离传输任务,为实现基坑工程的信息化施工、提高工程效率提供了一定参考。  相似文献   

14.
EPP模式下的并口与FPGA的高速数据通信   总被引:1,自引:0,他引:1  
介绍了通过EPP协议实现FPGA和计算机并口之间双向高速数据通信的方法,并描述了EPP的操作模式和通信原理;讨论了硬件设计结构、有限状态机实现及相应的并口程序设计,实现了计算机和FPGA之间的高速数据传输,占用FPGA和计算机的时间非常少,尤其在速度快、数据量大的情况下,具有更大的优势。该方法具有一定的通用性。  相似文献   

15.
嵌入式SATA存储系统的研究   总被引:3,自引:0,他引:3  
新兴的SATA技术为高速、便携、高性价比的嵌入式硬盘存储系统的研制提供了保障。将SATA2.5协议写到Virtex-5FPGA内部,通过GTP收发器实现高速串行数据传输与存储,可以突破PCI接口的瓶颈,使系统具备高速、实时、便携和海量存储等特点。  相似文献   

16.
VGA(视频图像阵列)作为一种标准的显示接口得到广泛应用;千兆以太网具有传输速度快、传输距离远、稳定可靠等优点,是当前嵌入式系统的应用热点;FPGA拥有丰富的逻辑和光脚资源,常用于高速数据处理和通信的嵌入式系统。文中结合以上三点,介绍了基于FPGA的千兆以太网终端显示系统的设计。通过对该系统方案进行分析,将设计分为3个模块:数据包接收及解析模块、双口RAM缓存模块和VGA控制器模块。文中详细介绍了这3个模块的设计方法,并在此技术上实现了3个模块协同工作完成整个系统的功能,并给出了系统仿真结果和显示效果。  相似文献   

17.
针对UWB室内定位系统中有线同步方式存在时钟电路设计困难、现场布线复杂且硬件成本较高的问题,提出采用基站间无线同步的方式,服务器只需发送一次定位指令,基站间就可进行定时同步,简化了同步机制,降低了系统的硬件成本,减轻了服务器与基站间的网络通信负荷;针对现有的基站大多采用串行主控芯片进行定位数据和其他功能子模块的控制与处...  相似文献   

18.
数控网络系统是数控系统发展的趋势,在这类系统中,结点之间通信的最大要求是高实时性、高可靠性,最大特点是通信数据量较小,且具有周期性。本论文将根据数控网络的这些特点,详细介绍如何在物理层,用VHDL语言设计一个满足这些要求的模块,通过光纤实现点对点的通信。  相似文献   

19.
BAS系统(机电设备监控系统)主要完成车站内的机电设备如空调机组、新风换气机、送/排风机、风幕机、潜污泵、电/扶梯、风冷热泵等设备的自动监视、控制和管理。为了更好地优化BAS系统的可视化界面设计、提高监控质量,以达到节能、安全、提高管理水平的目的,本设计将NB-IoT技术、 Oceanconnect云平台设计及嵌入式技术综合融入到BAS系统中,实现远程监管各个站内数据并实时控制各站内设备以应对突发情况。本系统采用STM32作为微控制器,设计温湿度及光照总控中心和调控节点电路,总控中心通过NB-IoT无线通信模块组网与各安装在站内的多个调控节点进行指令下发和数据上报的双工通信,将通过各节点的温湿度及光照传感器采集到的数据经STM32单片机处理后,通过NB-IoT无线通信模块上传至根据实际要求设计的Oceanconnect云模型中,实现总控制中心及节点电路的云端数据可视化及数据分析,同时还可以由上位机云端模块直接控制各站内下位机的空调机组,换气机污水泵等设备,以实现实时的监管与控制各站内现场环境。经过实验测试结果表明,系统可视化界面清晰简洁易操作且性能稳定可靠,能够实现实时数据的通信分析与控制,提高车站内现场控制的有效性,能够对突发情况进行快速自动处理。  相似文献   

20.
薛延侠  赫建国 《微计算机信息》2007,23(17):227-228,218
本文介绍了一种应用FPGA器件完成高速数字传输的方法,利用这种方法实现无线收发芯片nRF2401A的高速数据接口.为进一步提高信息的传输速率,这里还对待传输的数据进行了压缩处理.涉及的高速数据接口和压缩处理算法都用VHDL实现,并通过实际测试.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号