首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 0 毫秒
1.
A novel etch-diffusion process is developed for fabricating high-aspect-ratio Si structures for microsensors. This is accomplished by first dry etching narrow gap Si microstructures using an electron cyclotron resonance (ECR) source, followed by a shallow B diffusion to fully convert the etched microstructures to p++ layer. Microstructures up to 40 μm deep with 2-μm-wide gaps were etched with a Cl2 plasma generated using the ECR source. Vertical profile and smooth morphology were obtained at low pressure. A shallow B diffusion at 1175°C for 5.5 h. was then carried out to convert the 40-μm-thick resonant elements to p++ layer. A second dry etching step was used to remove the thin p++ layer around the bottom of the resonant elements, followed by bonding to glass and selective wet etch. Released high-aspect-ratio Si microsensors with thicknesses of 35 μm have been demonstrated. At atmospheric pressure, only 5 Vdc driving voltage is needed for 2.5 μm vibration amplitude, which is less than the 10 Vdc required to drive 12-μm-thick resonators fabricated by conventional dissolved wafer process  相似文献   

2.
A monolithic thermal inkjet printhead has been developed and demonstrated to operate successfully by combining monolithic growing of a nozzle plate on the silicon substrate and electrochemical etching of silicon for an ink feed hole. For the monolithic fabrication, a multiexposure and single development (MESD) technique and Ni electroplating are used to form cavities, orifices, and the nozzle plate. Electrochemical etching, as a back-end process, is applied to form an ink feed hole through the substrate, which is accurately aligned with the frontside pattern without any backside mask. The etch rate is nearly proportional to the current density up to 50 μm/min. Experiments with a 50-μm-diameter nozzle show ink ejection up to the operating frequency of 11 kHz with an average ink dot diameter of about 110 μm for 0.3-A, 5-μs current pulses  相似文献   

3.
We aimed to produce differently shaped pyramids, that is, eight-sided, triangular, and rhombic pyramids, on the same Si{100} wafer by simply changing mask patterns. A triangular pyramid has an advantage in that it can always become sharp because its vertex becomes a point and is not affected by fabrication errors. A rhombic pyramid that looks like an arrow head was designed to decrease the insertion friction and scar area even if it is inserted deeply into the skin. Triangular and H-shaped etching mask patterns were designed to produce triangular and rhombic pyramid structures on Si{100} on the basis of eight-sided pyramid formation. Both triangular and rhombic pyramids were successfully fabricated as new MEMS structures by applying TMAH anisotropic wet etching (25.0 wt%, 70 °C). A sharp tip with a radius of less than a few hundred nm was obtained in both the triangular and rhombic Si pyramids.  相似文献   

4.
Improvement of the mechanical strength of Si structures by applying chemical wet etching was investigated. The cantilever specimens that have a sidewall surface of Si{110} were produced by a Bosch process with a resist mask. The typical height and pitch of the scalloping formed on the sidewall were 245 and 891 nm, respectively. To improve mechanical strength of the cantilever, 50 % KOH (40 °C) chemical wet etching was applied to reduce the scalloping. The cantilevers with and without chemical etching applied were bent laterally by using a manipulator under an optical microscope. The maximum stresses in the cantilever at the fracture were increased by applying chemical etching. The increment ratio of the stress in Si{110} specimens was 1.7–1.8 times. Cantilevers with and without chemical etching applied showed no big differences in terms of the fracture configurations.  相似文献   

5.
We report on vertical mirrors fabricated by deep reactive ion etching of silicon. The mirror height is 75 μm, covering the fiber core of a single-mode fiber when the latter is placed into a groove of equal depth and etched simultaneously with the mirror. To obtain a uniform etch depth, etching is stopped on a buried oxide layer. Using the buried oxide as a sacrificial layer allows to fabricate mirrors with suspension and actuation structures as well as fiber-alignment grooves in one and the same processing step. A minimal mirror thickness of 2.3 μm was achieved, resulting in an aspect ratio higher than 30. The verticality was better than 89.3°. In the upper part of the mirror a surface roughness below 40 nm rms was obtained. At a wavelength of 1300 nm the reflectivity of the aluminum-coated mirrors was measured to be higher than 76%. Using a reactive ion etched mirror we have fabricated an optical fiber switch with electrostatic actuation. The coupling loss in the bar state of two packaged prototypes was between 0.6 and 1.7 dB and between 1.4 and 3.4 dB in the cross state. The switching time is below 0.2 ms  相似文献   

6.
This study presents a bulk micromachining fabrication platform on the (100) single crystal silicon substrate. The fabrication platform has employed the concept of vertical corner compensation structure and protecting structure to integrate the wet anisotropic etching and DRIE processes. Based on the characteristics of wet anisotropic etching and DRIE, various MEMS components are demonstrated using the bulk micromachining platform. For instance, the free suspended thin film structures and inclined structures formed by the {111} crystal planes are fabricated by the wet etching. On the other hand, the mesas and cavities with arbitrary shapes and the structures with different leve l heights (or depths) are realized by the characteristics of DRIE. Since the aforementioned structures can be fabricated and integrated using the presented fabrication platform, the applications of the bulk micromachining processes will significantly increase.This research is based on the work supported by WALSIN LIHWA Corporation and the National Science Council of Taiwan under grant of NSC-91–2218-E-007–034. The authors would like to thank the Central Regional MEMS Research Center of National Science Council, Semiconductor Research Center of National Chiao Tung University and National Nano Device Laboratory for providing the fabrication facilities.  相似文献   

7.
8.
 A novel design for bidirectional fluidic motion has been demonstrated which is widely used in the biochip or microfluidic component. Two miniaturized Venturi pumps as well as pneumatic servo system are designed to easily control the bidirectional fluidic motion by simple fabrication. The pumping velocity is 0.86 μl/min at a 2.75 slpm (standard liter per minute) air flow read from mass flow controller (MFC) for totally 4.3 μl blue ink in a 300 μm wide by 300 μm deep channel. The higher airflow, the faster fluidic pumping speed. Numerical simulation is performed to correlate the experimental data of fluidic speed and air flow in microchannel. The test chip with two Venturi pumps and channel was batchedly fabricated by silicon deep reactive ion etching (RIE) and glass anodic bonding. The ICP LIGA process is also investigated after deep RIE followed the electroforming and hot embossing. Received: 10 August 2001/Accepted: 24 September 2001  相似文献   

9.
Silicon anisotropic wet etching is applied for fabricating round-shaped micro-structures in a size range of sub-microns. In this work, we demonstrate that arbitrary 2-D mask patterns having curved profile can be successfully transferred to deep-etched cavity profiles on a Si {100} wafer. The sub-micron mask is directly drawn on the Si wafer by irradiating focused ion beam to the wafer surface. Anisotropy in etch rate of Si using tetra-methyl ammonium hydroxide solution was modified and controlled by adding a surfactant Triton X-100 to the solution. Etched profile was conformal to etch mask patterns having smooth curvatures.  相似文献   

10.
11.
This paper presents two possible technologies used to manufacture semitransparent monocrystalline building integrated solar cells: laser cutting and anisotropic etching. Nd:YAG 1,064 nm laser cut sidewalls are rough and contain molten residues, resulting in shunts across the through-holes confirmed by I–V characteristic measurement and reverse bias measurement results. Tetramethylammonium hydroxide etched edges are uniform with smooth sidewalls. Carrier lifetime and Kelvin probe measurements reveal the flaws occurring in the two technologies.  相似文献   

12.
Microsystem Technologies - This paper discusses about the fabrication of comb-structure with vertical sidewall profile by wet chemical etching of Si (110) substrate in boiling KOH solution. Etch...  相似文献   

13.
玻璃是制作微流控芯片的重要材料,其加工工艺主要基于光刻后湿法腐蚀,对设备和实验室要求较高.本文提出以普通指甲油和指甲油/金/铬为牺牲层,利用CO2激光烧蚀开窗口,辅以湿法腐蚀加工玻璃基微流控芯片的方法,并考察了激光加工参数,腐蚀液组成,牺牲层等因素对芯片质量的影响.该方法简便易行,不需要光刻的昂贵设备和繁杂步骤.  相似文献   

14.
Abstract— Plastic displays require new manufacturing processes and techniques to achieve acceptable cost and performance. A novel additive, low‐temperature atmospheric‐pressure self‐aligned means of fabricating integrated plastic substrates for full‐color LCDs and a bistable LC mode based on microstructure alignment are presented. By using imprinting rather than photolithographic patterning, a scalable, low‐cost manufacturing route is possible. A 2‐in.‐diagonal 128 × 128‐pixel display was made to demonstrate the principles involved, which has retained an image for in excess of 2 years.  相似文献   

15.
Mechanical strengthening of a Si cantilever by applying KOH wet etching was investigated. Two kinds of Si cantilever specimens having the different crystallographic orientations of the sidewall surfaces, i.e., Si{100} and Si{110}, were fabricated from the same SOI wafer by a Bosch process. The typical height and pitch of the scalloping formed on the sidewall were 248 and 917 nm, respectively. A 50 % KOH (40 °C) chemical wet etching was applied to increase the fracture stress of the Si cantilever. The fracture stress in the both of Si{100} and Si{110} cantilevers increased with the advance of the etching. The obtained maximum fracture stress in Si{100} and Si{110} were 4.2 and 3.7 GPa, respectively. Sidewall surface of the cantilever was analyzed to investigate the mechanical strengthening of Si cantilever by wet etching. The etched surface crystalline was analyzed by the transmission electron microscope (TEM), and confirmed that the thickness of the affected flow layer was less than 10 nm from the obtained TEM image. Then the change of the surface roughness by the KOH etching was analyzed by the atomic force microscope. The surface was smoothened with the advance of the KOH etching. The roughness value of Ra in Si{100} and Si{110} decreased to 12.1 and 37.7 nm, respectively.  相似文献   

16.
There is a growing demand for in situ monitoring of strain in high-temperature, harsh environment systems. Resistive strain gauges, while popular and easy to implement, have several disadvantages when used at high-temperatures. This work presents the design, fabrication, and initial testing of capacitive strain gauges for use in high-temperature, harsh environments. The gauges are fabricated using a direct-write thermal spray technology in which a computer-controlled deposition system is used to fabricate silver gauge patterns onto polymer, composite, and alumina substrates to form the strain gauges. Gauges were also fabricated using ultrafast laser micromachining of blanket NiCr coatings thermal sprayed onto an alumina substrate. The typical gauge capacitance was 4–25 pF. Mechanical measurements performed included gauge factor, linearity, and zero shift. Temperature-based measurements include the temperature coefficient of capacitance (TCC) and thermal cycling tests. The devices show promise for use in harsh environments and in wireless strain monitoring applications.  相似文献   

17.
Complex microstructures can be fabricated in large quantities by thermoplastic molding processes. The shape of the microstructures is determined mainly by the mold insert. Until now, multi-level mold inserts have been fabricated either by deep etch X-ray lithography and electroforming, Harmening et al. (1992), or by milling of a brass substrate, Schaller et al. (1995). In both cases there are limitations on structuring either by the fabrication effort or by the sizes of the smallest available milling heads. To avoid these limitations on structuring, a new process for manufacturing multi-level mold inserts has been developed at Forschungszentrum Karlsruhe. Milling, drilling, deep etch X-ray lithography and electroforming have been combined to manufacture a mold insert which is characterized by high aspect ratios with small lateral dimensions and various level heights. Samples with two levels and an aspect ratio of 15 have been manufactured. Much higher aspect ratios seem to be achievable. This paper covers the fabrication process, first tests, and experimental results of manufacturing a multi-level mold insert for molding three-dimensional components of a microvalve system. The development of this technology has been supported by the European Community as part of the Esprit project IMICS.  相似文献   

18.
 Complex microstructures can be fabricated in large quantities by thermoplastic molding processes. The shape of the microstructures is determined mainly by the mold insert. Until now, multi-level mold inserts have been fabricated either by deep etch X-ray lithography and electroforming, Harmening et al. (1992), or by milling of a brass substrate, Schaller et al. (1995). In both cases there are limitations on structuring either by the fabrication effort or by the sizes of the smallest available milling heads. To avoid these limitations on structuring, a new process for manufacturing multi-level mold inserts has been developed at Forschungszentrum Karlsruhe. Milling, drilling, deep etch X-ray lithography and electroforming have been combined to manufacture a mold insert which is characterized by high aspect ratios with small lateral dimensions and various level heights. Samples with two levels and an aspect ratio of 15 have been manufactured. Much higher aspect ratios seem to be achievable. This paper covers the fabrication process, first tests, and experimental results of manufacturing a multi-level mold insert for molding three-dimensional components of a microvalve system. Received: 30 October 1995 / Accepted: 17 January 1996  相似文献   

19.
Two dry subtractive techniques for the fabrication of microchannels in borosilicate glass were investigated, plasma etching and laser ablation. Inductively coupled plasma reactive ion etching was carried out in a fluorine plasma (C4F8/O2) using an electroplated Ni mask. Depth up to 100 μm with a profile angle of 83°–88° and a smooth bottom of the etched structure (Ra below 3 nm) were achieved at an etch rate of 0.9 μm/min. An ultrashort pulse Ti:sapphire laser operating at the wavelength of 800 nm and 5 kHz repetition rate was used for micromachining. Channels of 100 μm width and 140 μm height with a profile angle of 80–85° were obtained in 3 min using an average power of 160 mW and a pulse duration of 120 fs. A novel process for glass–glass anodic bonding using a conductive interlayer of Si/Al/Si has been developed to seal microfluidic components with good optical transparency using a relatively low temperature (350°C).  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号