首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Fabrication techniques of microstructures with high resolution and high aspect ratio are necessary for practical microelectromechanical systems (MEMS) that have high performance and integration. In order to fabricate microstructures with sub-micron resolution and high aspect ratio, deep X-ray lithography has been investigated using the compact synchrotron radiation (SR) light source called “AURORA”. An X-ray mask for sub-micron deep X-ray lithography, which is composed of 1 μm thick Au as absorbers, 2 μm thick SiC as a membrane and 625 μm thick Si as a frame, was designed. In preliminary experiments, the following results were achieved: EB resist microstructures with an aspect ratio of 22 corresponding with 0.07 μm width and 1.3 μm height were formed; a 10 μm thick PMMA resist containing no warp was formed by direct polymerization, enabling more precise gap control.  相似文献   

2.
 The first step for the fabrication of microstructures using deep x-ray lithography (DXRL) is the radiation of a sensitive polymer like poly(methyl methacrylate) (PMMA) by hard x-ray. At the Advanced Photon Source a dedicated beamline1 allowed the proper exposure of very thick resist (several mm). In this work we give a characterization of the PMMA/development system. As a result the resist dissolution rate (μm/min) of the exposed PMMA in different developer is calculated. We also analyze the conditions that produce bubbles and cracks in the thick exposed resist and investigate the scanning parameters that reduce them. Received: 25 August 1997/Accepted: 3 September 1997  相似文献   

3.
 This paper presents the fabrication of intermediate x-ray mask for deep x-ray lithography. In order to have working mask with absorbers thickness larger than 10 μm, the intermediate mask should have absorbers of 0.7 μm in thickness. To demonstrate intermediate mask fabrication, x-ray zone plates are fabricated on the 1.2 μm low-stress silicon-rich silicon nitride (SiNx) membrane with the tri-layer Chromium-Tungsten-Chromium (Cr–W–Cr) as the x-ray absorbers. The chromium layers both 200 angstroms are used as adhesion and for stress relief. The SiNx film is deposited with low pressure chemical vapor deposition (LPCVD) and the free standing membrane are formed by KOH silicon backside etching. With the e-beam lithography and reactive ion etching, width of 0.8 μm of outmost zone of the x-ray zone plates has been achieved on the membrane. The scanning electron microscopy (SEM) images of the x-ray zone plates and pictures of intermediate masks are demonstrated. Received: 25 August 1997/Accepted: 3 September 1997  相似文献   

4.
High aspect ratio electrostatic micro actuators using LIGA process   总被引:2,自引:0,他引:2  
High-power electrostatic microactuators using LIGA process have been fabricated. Comb drive type actuators and a wobble motor were designed and fabricated. A basic structure of the microactuators was composed of movable and fixed electrodes of Ni, a sacrificial layer of SiO2 and a Si substrate, and carried out by one mask process. As design rules, a minimum resist width of 2 μm, resist height of 120 μm, maximum width of movable parts of 10 μm, minimum width of fixed parts of 40 μm and driving voltage of about 100 V, were decided. A 120 μm-thick PMMA resist was formed on a Si substrate by a casting method. The PMMA was exposed using a compact SR source “AURORA”, using an X-ray mask with 7 μm-thick Au absorber on a 2 μm-thick poly-Si membrane. The exposed PMMA was developed by a developer. Ni microstructures with 100 μm-height, 2 μm-minimum width, 2 μm-minimum gap, and then maximum aspect ratio of 50, were made by electroforming. Ni microstructures used for movable electrodes were separated from the substrate by lateral etching of SiO2. After lateral etching of SiO2, Au wires were bonded to electrodes. Actuation of the comb drive type actuator and rotation of the wobble motor were confirmed. The applied voltage to the comb drive actuator and the wobble motor were 65 and 125 V.  相似文献   

5.
The structure quality of deep X-ray lithography components strongly depends on the quality of the applied X-ray mask. In this article we compare the results obtained with two different mask types. Sophisticated working masks generated by e-beam lithography, soft X-ray lithography and electroplating of gold absorbers on a titanium mask membrane have been fabricated at the Institute for Microstructure Technology, Research Center, Karlsruhe (FZK/IMT), Germany. Prototype masks generated by e-beam lithography, optical lithography and electroplating of gold absorbers on a polyimide mask membrane have been fabricated by Optnics Precision, Japan, with the aim to offer commercially available low cost masks. Both mask types were applied to pattern PMMA resist layers of 300–750 μm thickness at the 2.5 GeV electron storage ring ANKA, Germany, using comparable process parameters. FZK/IMT masks provide microstructures with significantly better structure quality. The layout area, however, is currently limited to 12 cm2, and the Ti mask membrane tends to lead to a slight resist surface attack, such as rounding of the resist edges. Optnics masks provide microstructures with reduced structure quality due to sidewall striations (sidewall roughness up to 2 μm) and thermal distortions (of up to 3–5 μm) which limit the potential scope of applications. They could nevertheless potentially be applied as low quality, low cost X-ray masks. High resolution and high accuracy applications, however, require more sophisticated but also more expensive masks, like the Ti-masks from FZK/IMT.  相似文献   

6.
 We have carried out micromachining of Teflon-polymers such as PTFE, PFA and FEP as well as optical crystal such as NaCl and LiF by synchrotron radiation direct (without any chemicals) photo-etching and succeeded in creating microstructures with very high aspect ratios. The maximum aspect-ratio achieved was 50 and the maximum processing depth was 1500 microns. Dependence of the etching rate on the synchrotron beam current and on the substrate temperature was studied. Based on the study, we could use only x-rays from the synchrotron radiation so as to apply x-ray lithography technology (such as using an x-ray mask and processing in He atmosphere) to our process. A rise in the sample temperature results in significant enhancement of the etching rate. The etching rate measured was on the order of a few 100 μm/min. So that this process is much faster than hard x-ray deep lithography for the processing of more than 100 μm deep microstructures. Received: 25 August 1997/Accepted: 23 October 1997  相似文献   

7.
 In deep X-ray lithography synchrotron radiation is applied to pattern several hundred micrometer thick resist layers. This technique has been used to obtain micro structures with an aspect ratio up to 100 and dimensions in the micrometer range. The structures are characterised by straight walls and a typical sidewall roughness of approximately 50 nm. To be able to fabricate n-coherent structures with any lateral shape and to have the possibility to use these resist microstructures in an additional electroforming process the resist is usually mounted on a ceramic or metallic substrate. Due to the different thermal expansion coefficients of the resist material and the substrate a developing temperature of 37 °C produces cracks in the resist structures depending on the microstructure design. These defects are not observed if the developing temperature is reduced to 20 °C. Better structure quality is obtained using the GG-developer instead of MIBK/IPA, but the developing rate is decreased. Measurements of the developing rate of PMMA in GG-developer at different temperatures show that the contrast of the developer-resist system is increased at 20 °C compared to 37 °C. Received: 25 August 1997/Accepted: 3 September 1997  相似文献   

8.
 Movable microstructures with high aspect ratios were made with lateral dimensions down to the sub-micron domain by the LIGA process and a sacrificial layer technique. Compared to microstructures usually made by LIGA, all dimensions were reduced approximately by a factor of 10, while the aspect ratio was kept constant. The smaller resist thickness in the range of some ten micrometers allowed much lower X-ray doses and energies to be used for exposure and the absorbers with a thickness of only 3 μm to be employed. As the lateral dimensions are smaller, a much larger number of devices can be fabricated in one batch. Therefore, the production costs of deep etch X-ray lithography are reduced dramatically. Electrostatic linear actuators with lateral dimensions as small as 500 nm were manufactured to demonstrate the advantages of LIGA in sub-micron dimensions. An X-ray mask with absorbers 2.8 μm high was produced by a three-level technique. The linear actuators consisted of several arrays of capacitor plates combined into an electrostatic driving unit with an active area, typically, 0.3 mm2 and less. The driving unit was supported by folded beam flexures to avoid frictional forces. They also guaranteed parallel movement of the capacitor plates. The functionality of these devices was demonstrated by measuring displacement as a function of the voltage applied. Received: 30 October 1995 / Accepted: 17 January 1996  相似文献   

9.
 Millimeter-wave rf cavities for use in linear accelerators, free-electron lasers, and mm-wave undulators are under development at Argonne National Laboratory. Typical cavity dimensions are in the 1000 μm range, and the overall length of the accelerator structure, which consists of 30–100 cavities, is about 50–100 mm. An accuracy of 0.2% in the cavity dimensions is necessary in order to achieve a high Q-factor of the cavity. To achieve this, these structures are being fabricated using deep X-ray lithography, electroforming, and assembly (LIGA). The first prototype cavity structures are designed for 108 GHz and 2π/3-mode operation. Input and output couplers are integrated with the cavity structures. The cavities are fabricated on copper substrates by electroforming copper into 1 mm-thick PMMA resists patterned by deep x-ray lithography and polishing the copper down to the desired thickness. These are fabricated separately and subsequently assembled with precision spacing and alignment using microspheres, optical fibers, or microfabricated spacers/alignment pieces. Details of the fabrication process, alignment, and assembly work are presented in here. Received: 25 August 1997/Accepted: 20 October 1997  相似文献   

10.
 The high aspect ratio, deep x-ray lithography and electrodeposition process [Becker et al. (1986)] can be expensive unless throughput is high enough. The use of a very high energy synchrotron has allowed the cost of exposure to be significantly reduced through simultaneous exposure of stacked photoresist [Guckel et al (1994)]. Synchrotron radiation at high photon energies has resulted the use of a large area x-ray mask. Both stacked exposures and a large area x-ray masks have significantly increased the throughput of the deep x-ray lithography and electrodeposition process. Received: 25 August 1997/Accepted: 3 September  相似文献   

11.
 Various optical or x-ray applications require reduction of scattered radiation on the imaging detector to produce sharper images. The scattered radiation is reduced when the radiation impacting on the detector is from a chosen small solid angle. This requires a mask in front of the detector with small holes and high aspect ratio. We are applying the SLIGA process to perform a proof-of-principle demonstration with the capability of making a large and high area anti-scattering grid. The approach is by assembling and stacking small pieces of grid. To maintain high throughput of the desired radiation, the wall of the grid has to be thin. We designed and fabricated four grid patterns all with 20 μm thick walls and 80 μm×80 μm holes. The individual pieces were 210 μm high and made of nickel. The pieces were assembled and stacked to make a 5 mm×5 mm grid 2.1 mm high. Much larger grids can be made by the SLIGA process, which was chosen because of its capability to fabricate high aspect ratio devices with precision. Received: 25 August 1997/Accepted: 24 October 1997  相似文献   

12.
 One major process step in deep X-ray lithography is the exposure of the resist with synchrotron radiation. High energy photons are absorbed in mask, resist and substrate. About 95% of this energy is deposited as thermal heat [Schweizer (1997)]. This may lead to a temperature rise in the system and result in thermal distortions during the patterning process. A sample layout is used to determine the distortions during irradiation. Typical radiation parameters of the ELSA storage ring at Bonn University (2.7 GeV, 35 mA) and material properties are applied to simulate the heat effects. Mask membranes made of titanium or beryllium are modeled to irradiate PMMA layers of 200 and 2500 μm thickness. Copper is used as substrate material. Mask support and the bottom of the substrate are cooled to 21 °C as the system is scanned through the synchrotron beam. In the case of 200 μm PMMA and titanium mask membranes, mask temperatures increase to 40.1 °C, whereas only 22.3 °C are reached if beryllium masks are simulated. Maximum distortions are 0.74 μm for Ti-masks and 0.03 μm for Be-masks. With increasing resist thickness, the incident synchrotron radiation power as well as the temperature rise are reduced. In the case of 2500 μm thick PMMA, temperatures of 21.45 °C are simulated. Received: 10 August 2001/Accepted: 24 September 2001 This paper was presented at the Fourth International Workshop on High Aspect Ratio Microstructure Technology HARMST 2001 in June 2001.  相似文献   

13.
 We are fabricating sub-collimating X-ray grids that are to be used in an orbiting solar X-ray telescope. The telescope optics consist of twelve rotating pairs of high aspect ratio grids. The pitch for the grids ranges from 34 μm to 317 μm. The grid thickness-to-grid-slit ratio must be approximately 50:1, resulting in grid thicknesses of 1 to 10 millimeters. We are implementing a design in which a 34 μm pitch, free-standing PMMA grid is fabricated with 20 μm wide slits through a 800 μm thickness. After exposure and developing, metal is electrodeposited into the slits in the PMMA grid and the PMMA is left in place to hold the individual metal pieces. For optimum imaging performance, the root-mean-square pitch of the two grids of each pair must match to within 1 part in 10000 and simultaneous exposures of stacked sheets of PMMA have insured that this requirement is met. Received: 30 October 1995 / Accepted: 25 January 1996  相似文献   

14.
This article describes the fabrication of polymer structures with lateral dimensions in the sub-micron regime using hard X-rays (λc ≈ 0.4 nm) from the electron storage ring ANKA. Spincoated polymethylmethacrylate (PMMA) grades have been analyzed with respect to development rates and contrast. The contrast has been determined to be constant over a wide dose regime but rapidly decreases for dose values below 1 kJ/cm3. Films with a thickness from 2 to 11 μm have been patterned using a high resolution X-ray mask consisting of 2 μm thick gold absorbers on a suspended 1 μm thick silicon nitride membrane. The fabrication of sub-micron X-ray lithography structures with feature sizes down to 400 nm is confined by the mechanical parameters of the resist material and the process conditions. Surface tension after development limits the achievable aspect ratio of isolated pillars and walls, depending on the actual resist height. PMMA structures have been successfully used as template for electroplating of 1 μm thick gold to demonstrate the fabrication capability of sub-micron scale metal parts.  相似文献   

15.
 The capability to produce X-ray masks inexpensively and rapidly is expected to greatly enhance the commercial appeal of the LIGA process. This paper presents a process to fabricate X-ray masks both inexpensively (under $1000) and rapidly (within a few days). The process involves one UV lithography step and eliminates the need for an intermediate X-ray mask. The X-ray mask produced by this process consists of a 125 μm thick graphite membrane that supports a gold-on-nickel absorber pattern. The thickness of the absorber structures is great enough to supply sufficient contrast even when radiation sources with high characteristic photon energies up to 40 keV are utilized and/or when deep exposures are desired. The mask fabrication process is initiated by spin coating 30–50 μm of SU-8 directly on a graphite membrane. The SU-8 is then patterned using a UV mask. Gold-on-nickel absorber structures are electroplated directly onto the SU-8 covered graphite. Once the remaining SU-8 is removed, attaching the graphite membrane to a frame completes the mask. To test the performance of the mask, a nickel mold insert was fabricated. A sheet of PMMA 500 μm in thickness was bonded to a nickel substrate, then exposed to X-rays through the mask, and developed. Electroplating nickel into the patterned PMMA sheet produced a mold insert. SEM pictures taken of the SU-8, the X-ray mask, and the mold insert are shown. This method of rapidly producing an inexpensive X-ray mask for LIGA resulted in a mold insert with smooth, vertical sidewalls whose dimensions were within two micrometers of the UV mask dimensions. Received: 12 December 1998/Accepted: 2 February 1999  相似文献   

16.
Radio frequency micro electro-mechanical systems (RF MEMS) vertical cantilever variable capacitors fabricated using deep X-ray lithography and electroplating are presented. Polymethylmethacrylate (PMMA) layers of 100 μm and 150 μm have been patterned and electroplated with 70 μm and 100 μm thick nickel. A 3 μm thick titanium layer was used as plating base as well as etch time-controlled sacrificial layer for the release of the cantilever beam. The parallel plate layout includes narrow gaps and cantilever beams with an aspect ratio in nickel of up to 60 for 1 mm long features. Auxiliary structures support the beams and gaps during the processing. Room temperature electroplating significantly reduces the risk of deformations compared to the standard process temperature of 52°C. The capacitors operate in the 1–5 GHz range, and demonstrate good RF performance, with quality factors on the order of 170 at 1 GHz for a 1 pF capacitance.  相似文献   

17.
 In the first step of the LIGA process a resist layer, typically PMMA (polymethylmethacrylate), is pattered by deep X-ray lithography. The exposed parts are subsequently dissolved by an organic developer. The quality and the achievable height of the microstructure is decisively determined by the development process. In order to increase the aspect ratio and maintain the quality of the microstructures the parameters influencing the development process were investigated. In the case of dip development and ultrasound development a strong dependency of the development rate on the temperature, dose value and depth of deposition has been noticed. The development rate increases with increasing dose value and temperature and decreases with increasing depth of deposition. In case of dip development the development course can be described by a phenomenological equation which considers the three mentioned parameters. In the case of ultrasound further parameters have to be taken into account: the geometry and the dimensions of the strucutres. Received: 25 August 1997/Accepted: 3 September 1997  相似文献   

18.
P-beam writing (proton beam writing), a direct write 3D nano lithographic technique has been employed for the production of X-ray masks in a single step fabrication process, with high aspect ratios and extremely smooth absorber edges. P-beam writing employs a focused MeV proton beam scanned in a predetermined pattern over a resist (e.g. PMMA or SU-8), which is subsequently chemically developed. P-beam writing in combination with electroplating appears ideally suited to directly write X-ray masks with nano sized features, high aspect ratios, small lateral feature sizes, and smooth and vertical sidewalls. Sub 100 nm resist structures with aspect ratios up 160 have been produced, as well as metallic (nickel) structures down to the 100 nm level. Preliminary tests on p-beam written X-ray test masks show that Ni stencils can be fabricated with a thickness of 2–20 μm, smooth side walls, feature details down to 1 μm, and aspect ratios up to 20.  相似文献   

19.
 The fabrication of LIGA mask is a very important step in LIGA process. Usually an intermediate mask with gold absorber pattern of 2 μm thickness is fabricated firstly using gold electroplating for absorber pattern in the resist structure written by Electron Beam (e-Beam), then the LIGA mask can be copied from the intermediate mask using synchrotron radiation lithography and gold electroplating. Recently, we use photolithography (instead of e-beam) to make the primary structure, and produce the intermediate mask with gold absorber pattern of 1.5 μm thickness produced by etching gold film with 1.5 μm thickness under the photoresist structure using Ar+. The LIGA mask with absorber pattern of 13 μm thickness is copied from the intermediate mask using synchrotron radiation lithography and gold electroplating. Received: 30 October 1995/Accepted: 9 December 1995  相似文献   

20.
 High energy X-rays, in the present case photons near 20,000 eV, can be used in LIGA-like processing. Heating problems with this type of photon flux can be avoided by proper filtration via transmission filters. The exposure of PMMA with high energy photons leads to cost effective exposures with full cost recovery estimates of $0.10 per square centimeter at 200 μm photoresist thickness. The cost advantage originates from increased PMMA absorption lengths and the ability to fabricate large area masks without diaphragms which permit large exposure fields. Received: 30 October 1995 / Accepted: 17 January 1996  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号