首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Layers of polycrystalline anatase TiO2 have been deposited through the thermal decomposition of titanium tetrakisisopropoxide (TTIP). 500 Å films deposited and annealed in oxygen at 750°C had average roughnesses (Ra) of about 30 Å. Capacitors made from 190 Å layers of TiO2 displayed a voltage dependent accumulation capacitance. This was postulated to be caused by finite width effects in the accumulation layer which we have dubbed the quantum capacitance effect. N-channel transistors made with these films showed near ideal behavior, but mobilities were significantly lower than those of thermal oxide MOSFETs. This mobility reduction was believed to be caused by interface states, which fell below 1011 cm-2 eV-1 at midgap, but rose sharply on either side, unlike the “U” shaped behavior in thermal oxide MOSFET's  相似文献   

2.
Time dependent dielectric breakdown (TDDB) and stress-induced leakage current (SILC) are investigated for the reliability of (Ba,Sr)TiO3 (BST) thin films. Both time to breakdown (TBD) versus electric field (E) and TBD versus 1/E plots show universal straight lines, independent of the film thickness, and predict lifetimes longer than 10 y at +1 V for 50 nm BST films with an SiO2 equivalent thickness of 0.70 nm. SILC is observed at +1 V after electrical stress of BST films; nevertheless, 10 y reliable operation for Gbit-scale DRAMs is predicted in spite of charge loss by SILC. Lower (Ba+Sr)/Ti ratio is found to be strongly beneficial for low leakage, low SILC, long TBD, and therefore greater long-term reliability. This suggests a worthwhile tradeoff against the dielectric constant, which peaks at a (Ba+Sr)/Ti ratio of 1.05  相似文献   

3.
An effective model to evaluate the leakage currents for different stacked gates deep submicron MOS transistors is presented. For a given equivalent oxide thickness of a stacked gate, the gate leakage current decreases with an increase of high-k dielectric thickness or a decrease of interlayer thickness. Turning points at high gate biases of the IV curves are observed for Si3N4/SiO2, Ta2O5/SiO2, Ta2O5/SiO2−yNy, Ta2O5/Si3N4, and TiO2/SiO2 stacked gates except for Al2O3/SiO2 structure. Design optimization for the stacked gate architecture to obtain the minimum gate leakage current is evaluated.  相似文献   

4.
A Gb-scale DRAM stacked capacitor technology with (Ba,Sr)TiO3 thin films is described, The four-layer RuO2/Ru/TiN/TiSix, storage node configuration allows 500°C processing and fine-patterning down to the 0.20 μm size by electron beam lithography and reactive ion etching. Good insulating (Ba0.4Sr0.6)TiO3 (BST) films with an SiO2 equivalent thickness of 0.65 nm on the electrode sidewalls and leakage current of 1×10-/6 Acm2 at 1 V are obtained by ECR plasma MOCVD without any post-deposition annealing, A lateral step coverage of 50% for BST is observed on the 0.2 μm size storage node pattern, and the BST thickness on the sidewalls is very uniform, thanks to the ECR downflow plasma. Using this stacked capacitor technology, a sufficient cell capacitance of 25 fF for 1 Gb DRAMs can be achieved in a capacitor area of 0.125 μm2 with only the 0.3 μm high-storage electrodes  相似文献   

5.
A low temperature electron beam induced current (EBIC) study using Al/SiO2/Si capacitors as probes of defects affecting the electrical properties of the bulk Si, SiO2 interface and the SiO2 layer is presented. The technique's relevance to current research on thin oxides and EBIC image enhancements obtained at reduced temperature are explained. The characteristic EBIC contrast representative of three capacitor bias conditions are reviewed as follows: 1) localized temperature dependent recombination at extended bulk defects for inversion bias, 2) spatial variation of the flat-band voltage due to nonuniform interfacial or oxide charge distributions for weak depletion bias, and 3) electron beam enhancement of SiO2 leakage currents at defect sites for accumulation bias. Illustrations of these contrast modes are presented for samples containing buried epitaxial misfit dislocations and oxide interface defects  相似文献   

6.
The electrical characteristics of a novel HfTaON/SiO2 gate stack, which consists of a HfTaON film with a dielectric constant of 23 and a 10-Aring SiO2 interfacial layer, have been investigated for advanced CMOS applications. The HfTaON/SiO2 gate stack provided much lower gate leakage current against SiO2 , good interface properties, excellent transistor characteristics, and superior carrier mobility. Compared to HfON/SiO2, improved thermal stability was also observed in the HfTaON/SiO2 gate stack. Moreover, charge-trapping-induced threshold voltage V th instability was examined for the HfTaON/SiO2 and HfON/SiO2 gate stacks. The HfTaON/SiO2 gate stack exhibited significant suppression of the Vth instability compared to the HfON/SiO2, in particular, for nMOSFETs. The excellent performances observed in the HfTaON/SiO2 gate stack indicate that it has the potential to replace conventional SiO2 or SiON as gate dielectric for advanced CMOS applications  相似文献   

7.
A reliable method of forming very thin SiO2 films (<10 nm) has been developed by rapid thermal processing (RTP) in which in situ multiple RTP sequences have been employed. Sub-10-nm-thick SiO2 films formed by single-step RTP oxidation (RTO) are superior to conventional furnace-grown SiO2 on the SiO2 /Si interface characteristics, dielectric strength, and time-dependent dielectric-breakdown (TDDB) characteristics. It has been confirmed that the reliability of SiO2 film can be improved by pre-oxidation RTP cleaning (RTC) operated at 700-900°C for 20-60 s in a 1%HCl/Ar or H2 ambient. The authors discuss the dielectric reliability of the SiO2 films formed by single-step RTO in comparison with conventional furnace-grown SiO2 films. The effects and optimum conditions of RTC prior to RTO on the TDDB characteristics are demonstrated. The dielectric properties of nitrided SiO2 films formed via the N2O-oxynitridation process are described  相似文献   

8.
To investigate the highly boron-doped SiO2 film, p+ polysilicon-gate PMOSFETs and capacitors were fabricated using the same process as is used for surface-channel-type n+-gate devices, except for the gate-type doping. After the application of negatively biased Fowler-Nordheim (FN) stress, it was found that positive charges accumulate near the silicon/SiO2 interface and electrons accumulate near the polysilicon/SiO2 interface in p+-gate capacitors. DC hot carrier stress was applied to both PMOSFET gate types. The p+ gate's stress time dependence of Isub is smaller than that of the n+ gate, and the electric field near the drain in the p+ -gate PMOSFET was found to be more severe than that of the n+ -gate device. The subthreshold slope of the p+-gated PMOSFET was improved and then degraded during the hot carrier stressing, while that of the n+-gated device did not significantly change. The actual change of Vth was larger than the value derived from Δgm using the channel-shortening concept. The idea of widely spreading and partially compensated electron distribution along with source-drain direction in the SiO2 film, which assumes the existence of trapped holes in the p+-gate PMOSFET, is proposed to explain these phenomena  相似文献   

9.
杨伟荣  潘永强  郑志奇 《红外与激光工程》2021,50(12):20210234-1-20210234-7
为了降低超精密低损耗光学元件表面粒子污染物的光散射损耗,文中提出通过在光学表面沉积单层薄膜来调控表面场强分布,从而降低散射损耗的方法。理论分析了K9玻璃超光滑光学表面不同厚度单层二氧化硅(SiO2)和单层二氧化钛(TiO2)薄膜表面上方半径为100 nm粒子污染物所在处的电场强度,理论分析结果发现,当SiO2薄膜厚度为137.4 nm,TiO2薄膜厚度为12.3 nm时,表面粒子污染物所在处的电场强度最小。在此基础上分别计算了光学元件表面沉积厚度为137.4 nm的单层SiO2薄膜以及厚度为12.3 nm的单层TiO2薄膜,表面粒子污染物的总散射损耗(S)和双向反射分布函数(BRDF),计算结果表明,在波长为632.8 nm的光垂直入射时,单层SiO2薄膜和单层TiO2薄膜可有效降低其表面粒子的BRDF,且可将K9玻璃表面的总散射分别降低12.40%和25.04%。实验验证了单层SiO2薄膜对于表面粒子污染物散射降低的有效性。  相似文献   

10.
We report on a SiO2/Si3N4/SiO2 (ONO) gate insulator stack deposited on GaN by jet vapor deposition (JVD) technique. Capacitors fabricated using the JVD-ONO on GaN are characterized from room temperature to 450°C using capacitance-voltage (C-V), current-voltage (I-V), AC conductance, and constant-current stress measurements. We find excellent operating characteristics over the measured range, most notably: (1) very low leakage current, (2) extremely high hard-breakdown strength, (3) low interface-trap density, and (4) low net dielectric-charge density. Moreover these performance figures remain well within acceptable limits even for operating temperatures as high as 150°C. In addition, we measure both the capture cross-section of the interface traps and the surface-potential fluctuation at the GaN/ONO interface. All results suggest that JVD-ONO is an excellent choice for a gate dielectric in GaN-based MISFETs  相似文献   

11.
In this paper, n++-poly/SiOx/SiO2/p-sub capacitors with enhanced electron injection under substrate accumulation are extensively studied. First, systematic investigation of the role of technology parameters in the PECVD deposition of the SiOx films is presented. In particular, the effect of the silane dilution parameter on the device performance is investigated and the SiOx film optimized in terms of reliability and electron injection enhancement. Then, investigation of the electrical behavior of n++ -poly/SiOx/SiO2/p-sub MOS capacitors is presented. As a result, a picture of the space defect distribution in the SiOx films is proposed. In SiOx films, a relevant density of trapped charge adds to ionized impurities. In particular, the net charge is negative in the bulk of the dielectric, indicating that trapped electrons exceed all the other charge contributions. The space distribution of defects is strongly nonuniform and has the maximum in the vicinity of the SiOx/SiO2 interface. After dc current stress, the devices undergo electrical degradation, the dominant mechanism of degradation being the creation of interface hole traps. The trap generation model is based on the release of hydrogen and pairs generation in the SiOx films. The time-scale of trap filling during the stress is tens of seconds, which suggests that the stress-induced traps are deep in the energy gap  相似文献   

12.
Graded gate oxide process involves a two-step synthesis of growing an oxide at a temperature above the viscoelastic temperature (TVE ) onto a pregrown low temperature thermally grown SiO2 layer to form a composite graded SiO2 structure. The cooling rate is carefully modulated near TVE~925°C to enhance growth induced stress relaxation. The pregrown SiO2 layer provides grading and is a sink for stress accommodation for the final high temperature SiO2 forming the interface. Both grading and modulated cooling generate a strain-free and planar Si/SiO2 interface. Such an interface delivers significant enhancement in all aspects of device reliability and performance. These oxides are of very high-quality, robust, and manufacturable with a process capability index, Cpk>1.5. Graded gate oxide is already in the primary path of our 0.16 μm and 0.12 μm technologies  相似文献   

13.
The electrical properties of CVD-Ta2O5 thin-films are improved by post-deposition oxygen-radical annealing. Since this annealing is carried out at very low pressure (10-6 torr), the growth of SiO2 in Ta2O 5/Si interface is small, and the residual carbon in the film is reduced. The damage to the Ta2O5 film caused by oxygen ion bombardment is negligible, because few charged particles reach the film. A critical voltage Vcrit of 1.45 V for the leakage current less than 10-8 A/cm2 was realized by these Ta2O5 films with the effective thickness teff of 2.59 nm. The Vcrit value for oxygen-radical annealing is higher than that for oxygen-plasma annealing  相似文献   

14.
Advances in lithography and thinner SiO2 gate oxides have enabled the scaling of MOS technologies to sub-0.25-μm feature size. High dielectric constant materials, such as Ta2O5 , have been suggested as a substitute for SiO2 as the gate material beyond tox≈25 Å. However, the Si-Ta 2O5 material system suffers from unacceptable levels of bulk fixed charge, high density of interface trap states, and low silicon interface carrier mobility. In this paper we present a solution to these issues through a novel synthesis of a thermally grown SiO2(10 Å)-Ta2O5 (MOCVD-50 Å)-SiO2 (LPCVD-5 Å) stacked dielectric. Transistors fabricated using this stacked gate dielectric exhibit excellent subthreshold behaviour, saturation characteristics, and drive currents  相似文献   

15.
The dielectric constant and the leakage current density of (Ba, Sr)TiO3 (BST) thin films deposited on various bottom electrode materials (Pt, Ir, IrO2/Ir, Ru, RuO2/Ru) before and after annealing in O2 ambient were investigated. The improvement of crystallinity of BST films deposited on various bottom electrodes was observed after the postannealing process. The dielectric constant and leakage current of the films mere also strongly dependent on the postannealing conditions. BST thin film deposited on Ir bottom electrode at 500°C, after 700°C annealing in O2 for 20 min, has the dielectric constant of 593, a loss tangent of 0.019 at 100 kHz, a leakage current density of 1.9×10 -8 A/cm2 at an electric field of 200 kV/cm with a delay time of 30 s, and a charge storage density of 53 fC/μm2 at an applied field of 100 kV/cm. The BST films deposited on Ir with post-annealing can obtain better dielectric properties than on other bottom electrodes in our experiments. And Ru electrode is unstable because the interdiffusion of Ru and Ti occurs at the interface between the BST and Ru after postannealing. The ten year lifetime of time-dependent dielectric breakdown (TDDB) studies indicate that BST on Pt, Ir, IrO2/Ir, Ru, and RuO2/Ru have long lifetimes over ten gears on operation at the voltage bias of 2 V  相似文献   

16.
The scaling down of on-chip microelectronic capacitors presents a considerable challenge for future microelectronic devices. High-permittivity polycrystalline dielectrics such as Ta2O 5, SrTiO3 (STO), or (Ba, Sr)TiO3 (BSTO), have been considered as a potential replacement for conventional amorphous SiO2 and SiNx. The polycrystalline microstructure of these materials may lead to capacitor-to-capacitor variations in charge storage capacity and charge retention. In this letter, a Monte Carlo simulation is used to assess these variations. Results show that as the average crystalline grain size becomes greater than 1% of the capacitor size, variations of 10% in capacitance and between 3-150% in leakage should be expected  相似文献   

17.
An interface trap-assisted tunneling and thermionic emission model has been developed to study an increased drain leakage current in off-state n-MOSFET's after hot carrier stress. In the model, a complete band-trap-band leakage path is formed at the Si/SiO2 interface by hole emission from interface traps to a valence band and electron emission from interface traps to a conduction band. Both hole and electron emissions are carried out via quantum tunneling or thermal excitation. In this experiment, a 0.5 μm n-MOSFET was subjected to a dc voltage stress to generate interface traps. The drain leakage current was characterized to compare with the model. Our study reveals that the interface trap-assisted two-step tunneling, hole tunneling followed by electron tunneling, holds responsibility for the leakage current at a large drain-to-gate bias (Vdg). The lateral field plays a major role in the two-step tunneling process. The additional drain leakage current due to band-trap-band tunneling is adequately described by an analytical expression ΔId=Aexp(Bit/F). The value of Bit about 13 mV/cm was obtained in a stressed MOSFET, which is significantly lower than in the GIDL current attributed to direct band-to-band tunneling. As Vdg decreases, a thermionic-field emission mechanism, hole thermionic emission and electron tunneling, becomes a primary leakage path. At a sufficiently low Vdg, our model reduces to the Shockley-Read-Hall theory and thermal generation of electron-hole pairs through traps is dominant  相似文献   

18.
叶伟  崔立堃  常红梅 《电子学报》2019,47(6):1344-1351
具有高介电常数的栅绝缘层材料存在某种极化及耦合作用,使得ZnO-TFTs具有高的界面费米能级钉扎效应、大的电容耦合效应和低的载流子迁移率.为了解决这些问题,本文提出了一种使用SiO2修饰的Bi1.5Zn1.0Nb1.5O7作为栅绝缘层的ZnO-TFTs结构,分析了SiO2修饰对栅绝缘层和ZnO-TFTs性能的影响.结果表明,使用SiO2修饰后,栅绝缘层和ZnO-TFTs的性能得到显著提高,使得ZnO-TFTs在下一代显示领域中具有非常广泛的应用前景.栅绝缘层的漏电流密度从4.5×10-5A/cm2降低到7.7×10-7A/cm2,粗糙度从4.52nm降低到3.74nm,ZnO-TFTs的亚阈值摆幅从10V/dec降低到2.81V/dec,界面态密度从8×1013cm-2降低到9×1012cm-2,迁移率从0.001cm2/(V·s)升高到0.159cm2/(V·s).  相似文献   

19.
The NH3-plasma passivation has been performed on polycrystalline silicon (poly-Si) thin-film transistors (TFT's), It is found that the TFT's after the NH3-plasma passivation achieve better device performance, including the off-current below 0.1 pA/μm and the on/off current ratio higher than 108, and also better hot-carrier reliability than the H2-plasma devices. Based on optical emission spectroscopy (OES) and secondary ion mass spectroscopy (SIMS) analysis, these improvements were attributed to not only the hydrogen passivation of the defect states, but also the nitrogen pile-up at SiO2/poly-Si interface and the strong Si-N bond formation to terminate the dangling bonds at the grain boundaries of the polysilicon films. Furthermore, the gate-oxide leakage current significantly decreases and the oxide breakdown voltage slightly increases after applying NH3-plasma treatment. This novel process is of potential use for the fabrication of TFT/LCD's and TFT/SRAM's  相似文献   

20.
Ultrathin (≃6 nm) oxynitrided SiO2 (SiOxNy) films have been formed on Si(100) by rapid thermal processing (RTP) in an N2O ambient. It is demonstrated that with this technology the generation of electron traps in bulk SiO2 and the low-field leakage during Fowler-Nordheim electron injection can be greatly reduced. This behavior of SiOx Ny film can be explained by the idea that the trap sites are reduced by forming strong Si-N bonds in bulk SiO2. This N2O oxynitridation is viewed as a hopeful technology for forming ultrathin EEPROM tunnel oxide films  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号