首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
Conformable photomask lithography allows submicrometer lines to be replicated by contact printing. Surface acoustic wave devices with 0.4-µm lines have been produced using this technique. A mask aligner and printing frame have been designed which feature micrometer controlledXandYmotion, rotation about the center of the viewing field, and both top and bottom illumination of mask and substrate. The construction and use of the apparatus, which is based on a toolmaker's microscope, is fully described.  相似文献   

2.
李美萱  王丽  董连和  赵迎 《红外与激光工程》2017,46(11):1118003-1118003(6)
NA1.35浸没式光刻照明系统是超大规模集成电路的核心设备,为了实现从ArF激光器发出的光束经过一系列模块传输后到达掩模面的能量满足光刻曝光系统的要求,需要在系统中引入非球面透镜,以减少镜片数量,提高能量利用率。为解决现在非球面透镜具有的加工难度和控制精度不足的缺陷,设计出一种优化控制保证非球面加工和检测的方法。在光学系统设计中优化非球面的形状,保证非球面度,满足非球面变化率在可加工和检测的范围内,并控制非球面拐点的产生。照明系统中镜片数量最多的模块是耦合镜组,通过非球面的优化,镜片数量从12片减少到9片,系统能量利用率提高近25%。此外,提高了系统像质NA一致性,像方远心度,弥散斑直径和畸变,满足了曝光光学系统对掩模面的能量要求,故该非球面控制技术具有良好的可加工性和可检测性。  相似文献   

3.
The computer simulation program SAMPLE is used to study the effects of longitudinal chromatic aberration on the resolution of projection mask aligners for microelectronics. It is shown that source spectral characteristics, filter bandwidth, and lens parameters all play a significant role in limiting image contrast in both single- and two-wavelength systems. Techniques for estimating the loss of image contrast as a function of lens and illumination parameters as well as examples including resist sensitivity and filter characteristics are given. For single-wavelength systems, appropriate filtering can make the effect of dispersion negligible usually at a slight cost of intensity available for exposure. However, in the case of two-wavelength systems, chromatic aberration can lead to a significant reduction in contrast below the diffraction-limited value. The loss in contrast can, however, be minimized by designing the lens for minimum chromatic slope at the two operating lines and by choosing a source with minimum spectral line bandwidth and minimum continuum between the two spectral lines or by using notch filtering.  相似文献   

4.
5.
The influence of mask error enhancement factor (MEEF) on the mask shadowing effect was investigated for extreme ultraviolet lithography. Imaging properties including horizontal-vertical (H-V) CD (critical dimension) bias and MEEF change through the pitch according to absorber thickness and process condition were studied using aerial image simulation. The mask structure used in this study consisted of tantalum nitride (TaN) absorber and 2 nm ruthenium (Ru) capping layer on the 40 pair of Mo-Si multilayer. As the absorber thickness increased and the pattern pitch decreased, both H-V CD bias and MEEF increased. At the illumination condition of 0.32 numerical aperture (NA), the H-V CD bias variation through the pitch was negligible and slightly increased at 1:1 pitch, while it steeply increased at 1:1.2 and 1:1 pitch for NA of 0.25. The MEEF value was below 1.5 for all calculated absorber thicknesses when the pitch was from 1:1.2 to 1:5, whereas it was 3 with 64 nm thick TaN for 1:1 pitch at vertical pattern. With the increment of absorber thickness, the MEEF difference between the horizontal and vertical pattern increased. We also calculated the H-V overlapping process window (PW) according to TaN thickness using 22 nm 1:1 line and space (L/S) pattern. As absorber thickness decreased, the overlapping zone in the EL of the focus-exposure plots between the horizontal and vertical features increased. Enough image contrast and H-V overlapping PW could be achieved by applying 38 nm thick TaN.  相似文献   

6.
《Microelectronic Engineering》2007,84(5-8):755-760
Use of off-axis illumination such as dipole and cross-pole to obtain minimum dense pitch resolution limits process margin due to side lobe defect pattern formation. Side lobe or ghost images are unwanted additional patterns formed at wafer image at certain pitch range where intensity drop occurs [I. Hur, Proc. SPIE 2440 (1995) 278], and there are limited ways in which ghost images can be reduced. One way to suppress ghost image is to use multi-pole illumination and such an illumination condition is difficult to generate using diffractive optic elements so a hard aperture must be used but its use limits throughput. An alternative way is to vary the mask phase in order to somewhat increase the intensity to alleviate the formation at certain pitch range [H. Iwasaki et al., Proc. SPIE 3236 (1997) 544]. But for patterning memory devices at and below 50 nm half-pitch, it is shown that binary mask provides beneficial results over attenuated phase shift mask due so called “mask induced polarization effect” [A. Estroff et al., Proc. SPIE 5754 (2005) 555; W.H. Cheng et al., Proc. SPIE 5992 (2005) 1; S. Teuber et al., Proc. SPIE 5754 (2005) 543; Y. Aksenov et al., Proc. SPIE 5754 (2005) 576; I. Höllein et al., Proc. SPIE 5853 (2005) 194]. Nevertheless, optical proximity corrections are to be performed and maximum obtainable process margin need to be obtained. Hence, a method of optimizing space scattering assist feature positioning and sizing is discussed in this paper. A simplified analysis method to optimize space scattering assist feature insertion is formulated for an optical proximity correction. A simplified model formulation is defined a priori, and its result is compared to results obtained from an empirically calibrated model and indicated a good correlation.  相似文献   

7.
《Microelectronic Engineering》2007,84(5-8):694-699
Dipole exposure techniques are currently being explored as alternatives to the low k1 problem that hinders the manufacture of sub 100 nm logic technology. Recently, there has been a great deal of interest in using a combination of vertical and horizontal dipole exposures capable of producing manufacturing-robust image results for Manhattan features. In this technique, a design is split into two complementary masks that have enhanced contrast at a given dipole imaging condition. The dipole is a strong case of off-axis illumination, and significant resolution enhancement can be achieved, but only for one pattern direction. Complementary dipole exposures are required when dense pitches in horizontal and vertical direction are present. Dipole decomposition approaches that separate vertical and horizontal features in a layout are based on the fact that horizontal features form an improved aerial image with a vertical dipole illumination, and vertical features show the same aerial image improvement with a horizontal dipole illumination. This technique, though conceptually simple, requires a computer algorithm to decompose a design layout into two patterns consisting of features oriented mainly in the horizontal and vertical directions. Some layout features, landing pads or angled lines for example, cannot easily be assigned to a particular mask based on this simple orientation logic. In this work a new approach has been presented in which 45° decomposition of the pattern is used to improve image contrast, pattern fidelity and focus behavior. The results will be analyzed in terms of contrast, pattern fidelity and focus dependence in order to determine the feasibility of printing manhattan and angled features using a dual dipole approach for sub 100 nm processes.  相似文献   

8.
We have constructed a theory of polarimetry of illumination used in 193 nm lithography equipments, fabricated a polarimeter mask, and demonstrated it for a hyper-NA (numerical aperture) immersion lithography scanner. The polarimeter mask comprises newly developed thin polarizers and wide-view-angle quarter-wave (λ/4) plates. Although a light traveling through these polarization devices on the polarimeter mask reaches an image detector at the wafer level through a projection optics, Stokes parameters of the illumination light can be measured with no influence from polarization characteristics of the projection optics between the mask and the image detector.  相似文献   

9.
基于低尺度细节恢复的单幅图像阴影去除方法   总被引:1,自引:0,他引:1       下载免费PDF全文
吴文  万毅 《电子学报》2020,48(7):1293-1302
为了在光照复杂、纹理丰富的图像上获得更好的去阴影效果,基于生成对抗网络提出了一种新颖的阴影去除方法.首先,所提网络中的阴影检测子网为阴影图像生成阴影掩膜,基于该检测结果提出一种光照敏感的多尺度图像分解方法,在几乎不损失光照信息的同时提取图像纹理信息;然后,蒙版生成子网为分解后的低尺度图像生成相应的蒙版用于去除其中阴影;其次,边界复原子网修复阴影边界实现友好的过渡;最后,使用自适应衰减因子引导图像进行细节恢复以得到纹理丰富的结果.实验结果表明所提方法可以有效地提高阴影去除效果.  相似文献   

10.
An algebraic model is developed for characterizing the printability, inspection, and repair of phase-shift defects in optical projection printing. Phase-shift defects are particularly difficult to characterize because of the many parameters associated with the exposure tool and with the attenuating phase shift mask (PSM) pattern. Furthermore, the parameters change during inspection of the attenuating PSM because the mask is examined under illumination conditions which differ from the exposure illumination. An algebraic model which encompasses this large set of variables is derived by considering the electric fields under the mask to be a combination of the electric fields from the feature and defect. These fields are then combined according to the mutual coherence function for the mask illumination. A notable difficulty is the relative phase shift due to defocus between large and small features. The model is shown to be valid for defects up to 0.35 λ/NA by comparison to SPLAT. Experimental verification is made for defects impacting a 6% transmitting PSM for 0.35-μm features at i-line. The reliability of the model is illustrated by giving rules of thumb for defect printing in attenuating PSM's  相似文献   

11.
一种面向LED扩展光源的道路配光设计   总被引:3,自引:3,他引:0  
在多车道的道路照明中,需要非对称矩形配光。本文根据非成像光学理论,建立点光源空间与目标照明面之间的拓扑关系,采用合适的反馈优化方法,获得面向扩展光源且在路面上形成均匀非对称矩形光斑的反射器,仿真结果表明,配光后光源的能量基本上被限制在20m×12m的矩形区域内,且实现了非对称的配光,横向照度均匀度达到了85%,纵向照度均匀度达到了80%,满足道路照明要求;并且通过开模实际测试出整体的照度均匀度为80.13%,验证仿真结果以及证明了设计方案的可行性和反射器在路灯灯具中的实用性。  相似文献   

12.
针对微观驱替实验中使用点光源或漫射光照明的方法无法采集到清晰图像,本文通过对明场照明和暗场照明效果的分析,利用透射式柯拉照明原理设计了新的照明系统,在照度均匀的同时大幅提升了采集到的图像的对比度。由凸透镜对光路的作用,推导出柯拉照明的照度分布,讨论了影响照度均匀分布的因素;推演出了照明系统参数设计的依据,使系统的照度分布均匀。实验证明了本文设计的照明系统的有效性,有较高的推广价值。  相似文献   

13.
DUV lithography, using the 248 nm wavelength, is a viable manufacturing option for devices with features at 130 nm and less. Given the low kl value of the lithography, integrated process development is a necessary method for achieving acceptable process latitude. The application of assist features for rule based OPC requires the simultaneous optimization of the mask, illumination optics and the resist.Described in this paper are the details involved in optimizing each of these aspects for line and space imaging.A reference pitch is first chosen to determine how the optics will be set. The ideal sigma setting is determined by a simple geometrically derived expression. The inner and outer machine settings are determined, in turn,with the simulation of a figure of merit. The maximum value of the response surface of this FOM occurs at the optimal sigma settings. Experimental confirmation of this is shown in the paper.Assist features are used to modify the aerial image of the more isolated images on the mask. The effect that the diffraction of the scattering bars (SBs) has on the image intensity distribution is explained. Rules for determining the size and placement of SBs are also given.Resist is optimized for use with off-axis illumination and assist features. A general explanation of the material' s effect is discussed along with the affect on the through-pitch bias. The paper culminates with the showing of the lithographic results from the fully optimized system.  相似文献   

14.
Advanced lithography requires resolution enhancement techniques (customized illumination mode, litho friendly design), and alternative process flow schemes (double exposure, double patterning) in order to meet the requirements of the ITRS technology roadmap and to extend the applications of a full-field scanner with a 1.35 numerical aperture (NA) that represents the physical limit of water-based immersion ArF lithography.Today, one of the most interesting alternative processes uses the patterning inversion through a negative tone development (NTD) process step. Traditionally, the patterning (contacts or trenches) is done by using a dark field mask in combination with positive tone resist and positive tone development (PTD). By using a solvent-based developer (NTD) and a bright field mask, the same features can be transferred into a positive resist with the benefit of better image contrast and, consequently, better line width roughness (LWR) and resolution.In this work we have explored the potential applications of NTD for trenches and contact holes for the 45 nm technology node requirements and beyond. The NTD process is a promising option considering the impact on process window, LWR, CD uniformity and defectivity. The experimental result of this alternative approach to print critical dark field levels in an advanced lithography boundary has been explored.  相似文献   

15.
随着器件特征尺寸的继续缩小,所需掩模的成本呈直线上升态势,为降低掩模成本,无掩模光刻技术成为人们研究的热点。介绍了一种基于DMD的步进式无掩模数字曝光方法,并对用于实现该曝光方法装置的设计方案和具体实现进行了论述与分析。最后利用厚的光刻胶进行曝光工艺实验,实验结果表明,本装置可以实现亚微米级线条的刻蚀,较高的侧壁陡度,线条拼接结果良好,可以实现大面积数字式曝光。  相似文献   

16.
Topography effects of glass edges in phase-shifting masks (PSM's) on image quality are assessed using the rigorous electromagnetic simulation program TEMPEST on three different optical systems for four PSM technologies including alternating, rim, attenuated, and chromeless. The scalar and thin mask approximations used in simulation programs such as SPLAT can be in error by as much as 20% for certain classes of shifter edges. A feature size independent bias of 0.021 λ/NA per edge is recommended for alternating masks with vertical edges because light is lost near the etched glass edges. No direct electromagnetic interaction between chromium edges and shifter edges was found for rim phase-shifting masks. The rim dimension can thus be designed solely on the basis of the sidelobe level and peak intensity. For attenuated PSM, edge effects are less severe but sidelobe problems occur. For a center to sidelobe contrast of 0.6 over a DOF of 3 RU, a lower transmission of 4% is recommended. For chromeless PSM, the imbalance in image peaks is shown to be affected by the optical stepper parameters. In any PSM technology, it appears that a 360° glass protrusion may produce a drastic drop in intensity due to resonant effects  相似文献   

17.
We discuss the rapid in situ hydrothermal synthesis of metal oxide materials based on the photothermal superheating of light‐absorbing metal layers for simple and facile on‐demand placement of semiconductor materials with micrometer‐scale lateral resolution. Localized heating from pulsed and focused laser illumination enables ultrafast growth of metal oxide materials with high spatiotemporal precision in aqueous precursor solution. Among many possible electronic and optoelectronic applications, the proposed method can be used for laser‐based in situ real‐time soldering of separated metal structures and electrodes with functionalized semiconductor materials. Resistive electrical interconnections of metal strip lines as well as sensitive UV detection using photohydrothermally grown metal oxide bumps are experimentally demonstrated.  相似文献   

18.
针对深海和夜间水域补充照明造成的水下图像光照不均,水中悬浮颗粒造成的图像 噪声、低对比度、偏色等问题,提出一种新的非均匀光照水下图像增强方法。首先,用高 斯滤波去除水下图像的噪声;其次,用最大类间方差法(大律法,maximum inter class variance, OTSU)分割出图像的明暗区域 掩膜,将亮度图分割成明暗区域,并对暗区域进行同态滤波处理,校正光照不均造成的阴 影;接着,用加权平均法融合明暗区域得到新的亮度图,重新合成彩色图像;最后,对水 下图像用对比度受限自适应直方图均衡化(contrast limited adaptive histogram equalization, CLAHE)和灰度世界进行增强对比度和颜色失 真校正,得到增强的水下图像。实验结果表明,本文提出的算法能够有效的改善光照不均 问题,并去除水下图像噪声、增强图像对比度,有利于后续目标检测、追踪等任务的进 行。  相似文献   

19.
Results are presented on the use of null wire segment holograms for the in-line assessment of mask alignment errors in the integrated circuit fabrication process. Process variations are detected by measuring the light intensity generated by a hologram designed to project a null image. To detect alignment errors, the mask for the wire segment hologram (WSH) is distributed between two mask layers. If the two sets of diffracting structures defined by the masks are transferred to the wafer with perfect registration, the result is an area of light cancellation (null) in the image plane. Increased mask misalignment leads to imperfect wavefront cancellation, which is manifested as an increase in light intensity in the null region. In order to characterize misalignment under controlled conditions, the two portions of the holographic test structure were initially recombined into a single structure but with intentional misalignment between the two portions designed into the mask. The technique was then used to characterize the alignment errors between two separate masks with the actual fabricated offsets measured using atomic force microscopy. Initial results indicate the technique is capable of resolving 0.1-μm mask misalignment for a 1-μm minimum feature process  相似文献   

20.
Because of the circular symmetry of a completely coherent radially polarized beam,the azimuthal intensity component is zero when it is focused by a high numerical aperture(NA) objective.In this paper,we show that such a conclusion is not tenable under the illumination of partially coherent beam whose coherent property depends on the azimuthal angle.Taking the Gaussian Schell-model(GSM) beam for an example,the tight focusing property of a partially coherent beam is studied,and the intensity and its radial,azimuthal and longitudinal components are particularly researched.The results show that the percentage of the components on the total intensity depends on the correlation length of the partially coherent beam.The azimuthal intensity component is produced under the illumination of partially coherent beam.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号