首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 625 毫秒
1.
In this study, we propose a new extreme ultraviolet (EUV) binary mask with an indium tin oxide (ITO) absorber. The optical constant of ITO film at 13.5 nm wavelength in the EUV regime was determined by means of X-ray reflectivity measurements and the chemical composition was determined using Rutherford backscattering spectrometry. The reflectance of a binary mask with an ITO absorber layer at various thicknesses was also measured to investigate the optical performance in the EUV regime. It was found that the extinction coefficient of ITO film is higher than that of a typical absorber layer, TaN, and that the reflectance of the ITO absorber in the binary mask at a wavelength of 13.5 nm is reduced to 0.62% at a thickness of 45 nm. Therefore, it is expected that the ITO film can be employed as a thin absorber of a binary mask to reduce the geometrical shadow effect in extreme ultraviolet lithography.  相似文献   

2.
We demonstrate 100-nm-resolution holographic aerial image monitoring based on lensless Fourier-transform holography at extreme-UV (EUV) wavelengths, using synchrotron-based illumination. This method can be used to monitor the coherent imaging performance of EUV lithographic optical systems. The system has been implemented in the EUV phase-shifting point-diffraction interferometer recently developed at Lawrence Berkeley National Laboratory. Here we introduce the idea of the holographic aerial image-recording technique and present imaging performance characterization results for a 10x Schwarzschild objective, a prototype EUV lithographic optic. The results are compared with simulations, and good agreement is obtained. Various object patterns, including phase-shift-enhanced patterns, have been studied. Finally, the application of the holographic aerial image-recording technique to EUV multilayer mask-blank defect characterization is discussed.  相似文献   

3.
Defect free masks remain one of the most significant challenges facing the commercialization of extreme ultraviolet (EUV) lithography. Progress on this front requires high-performance wavelength-specific metrology of EUV masks, including high-resolution and aerial-image microscopy performed near the 13.5?nm wavelength. Arguably the most cost-effective and rapid path to proliferating this capability is through the development of Fresnel zoneplate-based microscopes. Given the relative obscurity of such systems, however, modeling tools are not necessarily optimized to deal with them and their imaging properties are poorly understood. Here we present a modeling methodology to analyze zoneplate microscopes based on commercially available optical modeling software and use the technique to investigate the imaging performance of an off-axis EUV microscope design. The modeling predicts that superior performance can be achieved by tilting the zoneplate, making it perpendicular to the chief ray at the center of the field, while designing the zoneplate to explicitly work in that tilted plane. Although the examples presented here are in the realm of EUV mask inspection, the methods described and analysis results are broadly applicable to zoneplate microscopes in general, including full-field soft-x-ray microscopes routinely used in the synchrotron community.  相似文献   

4.
弧光放电法原位清洗光学元件   总被引:2,自引:0,他引:2  
光学元件受到污染后其光学性能会变差,如何对其进行清洗,尽可能地恢复其原来的性能,使其能够重新使用显得很重要。本文介绍一种碳污染的原位清洗方法——射频弧光放电法,利用原子氧与光学元件表面所沉积的碳反应以达到清洗的目的。清洗装置建立后对北京同步辐射装置(BSRF)上所使用的光学元件进行了清洗,取得了较好的效果。  相似文献   

5.
Extreme ultraviolet (EUV) lithography is currently considered as the leading technology for high-volume manufacturing below sub-20 nm feature sizes. In parallel, EUV interference lithography based on interference transmission gratings has emerged as a powerful tool for industrial and academic research. In this paper, we demonstrate nanopatterning with sub-10 nm resolution using this technique. Highly efficient and optimized molybdenum gratings result in resolved line/space patterns down to 8 nm half-pitch and show modulation down to 6 nm half-pitch. These results show the performance of optical nanopatterning in the sub-10 nm range and currently mark the record for photon-based lithography. Moreover, an efficient phase mask completely suppressing the zeroth-order diffraction and providing 50 nm line/space patterns over large areas is evaluated. Such efficient phase masks pave the way towards table-top EUV interference lithography systems.  相似文献   

6.
Terawatt laser systems are affected by the problem that optical components, typically installed in vacuum, get contaminated with carbon. In this paper, we describe an “on-line” cleaning system, based on a radiofrequency discharge, to avoid carbon production or to clean optical components if carbon is already deposited. The advantage of such a cleaning system is the direct installation along the beam line which not only allows a fast and reproducible cleaning of the components without removing them from the beam line, but also allows a preventive treatment of the most sensitive optics from further contamination.  相似文献   

7.
相移掩模方法及其一维数值模拟   总被引:1,自引:0,他引:1  
相移掩模方法是一种新的光刻技术,它可以提高现有光刻设备的分辨率,使超大规模集成电路及二元光学的制作迈上一个新台阶。本文介绍了相移掩模方法的基本原理,用部分相干光成象理论分析了用于光刻的投影照相系统的成象特性,导出了一维成象的简化公式,对一维光栅结构进行了计算机数值模拟并给出了模拟结果。  相似文献   

8.
Klosner MA  Silfvast WT 《Applied optics》2001,40(27):4849-4851
We describe a capillary discharge source configuration, allowing for collection of extreme-ultraviolet (EUV) radiation at large off-axis angles, without the need for an EUV window. Operating with xenon gas, the source emits intensely within the EUV spectral region at 11.3 and 13.5 nm. When coupled with a high-collection-efficiency optical system, this source may be suitable for a number of high-average-power EUV imaging applications.  相似文献   

9.
研究了交替型相移掩模及离轴照明对65nm分辨率ArF浸没式光刻的影响,在3/4环形照明和3/4四极照明方式下,分别选用传统掩模和交替型相移掩模,研究65nm线宽的密集线条、半密集线条、孤立线条在较大的曝光系统参数范围内,对光刻工艺窗口的改善。并对在不同的照明方式、掩模结构下获得的工艺窗口进行了比较,结果表明:①在较大焦深(DOF)范围内,满足光刻性能要求可以有较大范围的曝光系统参数配置;②相时于传统照明和传统掩模,采用交替型相移掩模或者离轴照明,焦深均可提高100%-150%。  相似文献   

10.
Multilayer coating results are discussed for the primary and secondary mirrors of the micro-exposure tool (MET): a 0.30 NA lithographic imaging system with a 200 microm x 600 microm field of view at the wafer plane, operating in the extreme ultraviolet (EUV) region at an illumination wavelength around 13.4 nm. Mo/Si multilayers were deposited by DC-magnetron sputtering on large-area, curved MET camera substrates. A velocity modulation technique was implemented to consistently achieve multilayer thickness profiles with added figure errors below 0.1 nm rms demonstrating sub-diffraction-limited performance, as defined by the classical diffraction limit of Rayleigh (0.25 waves peak to valley) or Marechal (0.07 waves rms). This work is an experimental demonstration of sub-diffraction- limited multilayer coatings for high-NA EUV imaging systems, which resulted in the highest resolution microfield EUV images to date.  相似文献   

11.
耿超  杨燕  李枫  黄冠  李新阳 《光电工程》2018,45(3):170692-1-170692-13

近年来,以激光大气传输为应用背景的光束相干合成技术被广泛研究,而关于该项技术在空间光通信中的应用研究却不多。事实上,基于光束相干合成的多孔径接收天线结构可有效缓解大气湍流影响,提高空间激光通信系统的性能。本论文简要介绍了中国科学院自适应光学重点实验室面向激光大气传输应用的激光组束传输与湍流校正技术研究近况;重点介绍关于多孔径接收空间光通信系统中的光纤相干合成研究进展,主要包括基于3 dB光纤耦合器的相干合成和基于光纤偏振合束器的相干偏振合成两种方法,在空间光通信系统中具有极大的潜在应用。

  相似文献   

12.
Naulleau PP  Gallatin GM 《Applied optics》2003,42(17):3390-3397
The control of line-edge roughness (LER) of features printed in photoresist poses significant challenges to next-generation lithography techniques such as extreme-ultraviolet (EUV) lithography. Achieving adequately low LER levels will require accurate resist characterization as well as the ability to separate resist effects from other potential contributors to LER. One significant potential contributor is LER on the mask. Here we explicitly study the mask to resist LER coupling using both analytical and computer-simulation methods. We present what is to our knowledge a new imaging transfer function referred to as the LER transfer function (LTF), which fundamentally differs from both the conventional modulation transfer function and the optical transfer function. Moreover, we present experimental results demonstrating the impact of current EUV masks on projection-lithography-based LER experiments.  相似文献   

13.
等离子体清洗同步辐射光学元件   总被引:1,自引:0,他引:1  
同步辐射光束线的光学元件的碳污染问题.它导致光学系统的光通量下降,尤其是在碳吸收边情况更加严重.因此在光束线运行一定的时间后,必须对光学元件的碳污染进行清洗以便保证其正常使用. 为此我们开发了一套射频等离子体原位光学元件清洗系统,以干燥的氧气和氩气为介质.在产生的等离子中存在大量的臭氧,与光学元件表面的碳反应,变成CO和CO_2气体,从而达到清洗的目的.实验证明等离子体清洗不但可有效清除碳污染,而且有利于光束线真空系统的超高真空环境的恢复,为光束线的维护提供了方便.  相似文献   

14.
Two basic types of interferometer, a point diffraction interferometer (PDI) and a lateral shearing interferometer (LSI) suitable for operation in the extreme-ultraviolet (EUV) wavelength region, are described. To address the challenges of wavefront measurement with an accuracy of 0.1 nm rms, we present a calibration method for the PDI that places a mask with two large windows at the image plane of the illumination point light source and a general approach to deriving the phase-shift algorithm series that eliminates the undesired zeroth-order effect in the LSI. These approaches to improving the measurement accuracy were experimentally verified by the wavefront measurements of a Schwarzschild-type EUV projection lens.  相似文献   

15.
A coherent multiple imaging technique for use in optical microlithography was studied. The technique involves placing a thin Fabry-Perot etalon between the mask and the projection lens of an optical stepper. An optical lithographic computer simulation tool, Prolith/2, was used to evaluate the aerial image profile obtained for extended mask structures such as typical contact hole arrays and line-space patterns used in integrated circuit fabrication. Additionally, a set of experimental studies were performed to validate the simulation results. Enhancement of both resolution and depth of focus can be obtained simultaneously with appropriate etalon parameters.  相似文献   

16.
The phase-shifting point-diffraction interferometer (PS/PDI) was recently developed and implemented at Lawrence Berkeley National Laboratory to characterize extreme-ultraviolet (EUV) projection optical systems for lithography. Here we quantitatively characterize the accuracy and precision of the PS/PDI. Experimental measurements are compared with theoretical results. Two major classes of errors affect the accuracy of the interferometer: systematic effects arising from measurement geometry and systematic and random errors due to an imperfect reference wave. To characterize these effects, and hence to calibrate the interferometer, a null test is used. This null test also serves as a measure of the accuracy of the interferometer. We show the EUV PS/PDI, as currently implemented, to have a systematic error-limited reference-wave accuracy of 0.0028 waves (lambda/357 or 0.038 nm at lambda = 13.5 nm) within a numerical aperture of 0.082.  相似文献   

17.
Abstract

The role of the illumination system of the confocal microscope, and in particular the first pinhole, is discussed. The effects of a finite sized source on the imaging performance, including the three-dimensional optical transfer function, are investigated. Two forms of source are considered, either a coherent Gaussian beam of finite size or an incoherent disc of finite size. For a confocal microscope using optical fibres as source and detector, the optimum geometry is derived for maximizing signal strength.  相似文献   

18.
Argon fluoride laser (ArF) lithography using immersion technology has the potential to extend the application of optical lithography to 45 nm half-pitch and possibly beyond. By keeping the same 4x magnification factor, the dimensions of the structures on masks are becoming comparable to the exposure wavelength or even smaller. The polarization effect induced by mask features is, however, an issue. The introduction of a larger mask magnification should be strongly considered when poor diffraction efficiencies from subwavelength mask features and the resulting image degradation would be encountered in hyper-NA lithography. The dependence of the diffraction efficiencies on mask pitch and illuminating angle are evaluated. The near-field intensity and phase distributions from the mask are calculated. The imaging performance of 4x and 8x masks for the sub-45 nm node are explored. A rigorous coupled-wave analysis is developed and employed to analyze the optical diffraction from the 3D topographic periodic features.  相似文献   

19.
Mo-Si multilayer structures were grown by ion-beam and magnetron sputtering to make high-performance mask blanks for practical use in extreme-ultraviolet (EUV) lithography. For ion-beam sputtering, the effect of using Ar or Xe as the sputtering gas, and the impact of the acceleration voltage of Ar or Xe ions on the EUV reflectivity of multilayers were evaluated. In the wavelength range of 12.5-14.5 nm, the peak EUV reflectivity was 60-63% for 40 Mo-Si bilayers grown by ion-beam sputtering, and 62-65% for those grown by magnetron sputtering. Transmission electron microscopy images of Mo-Si multilayers revealed interface layers between the Mo and Si layers. They were found to be composed of a mixture of Mo and Si and to be formed during sputtering. They had a thickness of 1.5-2.0 nm for the deposition sequence Mo-on-Si, and 0.5-1.0 nm for Si-on-Mo. In addition, they were 20-30% thicker for ion-beam sputtering than for magnetron sputtering. Calculations of the EUV reflectivity spectrum for 40 Mo-Si bilayers indicate that interface layer thickness plays a crucial role in determining the EUV performance of multilayers. Finally, an ion-implantation model was found to provide a better explanation of the mechanism of interface layer formation than a thermal-interdiffusion model.  相似文献   

20.
Optical lenses require feature resolution and surface roughness that are beyond most (3D) printing methods. A new continuous projection-based vat photopolymerization process is reported that can directly shape polymer materials into optical lenses with microscale dimensional accuracy (< 14.7 µm) and nanoscale surface roughness (< 20 nm) without post-processing. The main idea is to utilize frustum layer stacking, instead of the conventional 2.5D layer stacking, to eliminate staircase aliasing. A continuous change of mask images is achieved using a zooming-focused projection system to generate the desired frustum layer stacking with controlled slant angles. The dynamic control of image size, objective and imaging distances, and light intensity involved in the zooming-focused continuous vat photopolymerization are systematically investigated. The experimental results reveal the effectiveness of the proposed process. The 3D-printed optical lenses with various designs, including parabolic lenses, fisheye lenses, and a laser beam expander, are fabricated with a surface roughness of 3.4 nm without post-processing. The dimensional accuracy and optical performance of the 3D-printed compound parabolic concentrators and fisheye lenses within a few millimeters are investiagted. These results highlight the rapid and precise nature of this novel manufacturing process, demonstrating a promising avenue for future optical component and device fabrication.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号