首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
2.
Tantalum nitride based thin films have been deposited on p-Si (100) and SiO2/Si by thermal Atomic Layer Deposition (ALD) using either the Ta(= NtBu)(NEt2)3 or a derivative, in which one dialkylamido ligand is substituted by a η5-cyclopentadienyl (η5-Cp), as metal organic precursors with ammonia as reducing agent. TaNxCy self-limiting temperature dependent ALD growth was achieved for the TaCp(= NtBu)(NEt2)2/NH3 process with a growth rate of 0.51-0.91 Å cycle−1 in the 400-425 °C temperature range while between 240 and 280 °C, the growth of TaN based films from the Ta(= NtBu)(NEt2)3 was accompanied by a partial decomposition of the precursor. The η5-cyclopentadienyl type compound allows lower nitrogen content in the precursor and thereafter in the deposited film. Although N/Ta ratio is close to one at temperatures of 390 and 400 °C, as analyzed by Rutherford Back Scattering and Nuclear Reaction Analysis, films were amorphous independently of the deposition temperature. Since Ta-C bonds are present in the Cp derivative, the TaCp(= NtBu)(NEt2)2 tends more likely to form tantalum carbide compared to Ta(= NtBu)(NEt2)3, which leads to lower thin film resistivity. For both precursors, employed in their respective ALD window, films were smooth with a root-mean-square roughness close to 1 nm.  相似文献   

3.
Microstructure of amorphous tantalum nitride thin films   总被引:6,自引:0,他引:6  
The main purpose of the present microstructural analysis by transmission electron microscopy (TEM) and X-ray diffraction was to investigate whether amorphous TaN films are a potential candidate as diffusion barrier for Cu wiring used in Si devices. The TaN thin films were prepared by a sputter-deposition technique using Ar and N2 mixed gas, and the film structure was found to be sensitive to the gas flow ratio of N2 vs. Ar during sputtering. Polycrystalline TaN films were obtained when the N2/(Ar+N2) ratio was smaller than 0.10 and amorphous TaN films were obtained when the ratio was larger than 0.15. Cross-sectional TEM observations revealed that the amorphous films had columnar structure with fine grains and that nano-scaled voids segregated at the boundaries. In addition, two-layered structures were observed in the amorphous TaN films and high density of the grain boundaries was formed close to the substrate. The present results suggested that the amorphous TaN films would not have high resistance against interdiffusion between two different materials because the density of grain boundaries with small voids was extremely high.  相似文献   

4.
Thin tantalum oxide films were deposited using atomic layer deposition from TaCl5 and H2O at temperatures in the range 80–500 °C. The films deposited at temperatures below 300 °C were predominantly amorphous, whereas those grown at higher temperatures were polycrystalline containing the phases TaO2 and Ta2O5. The oxygen to tantalum mass concentration ratio corresponded to that of TaO2 at all growth temperatures. The optical band gap was close to 4.2 eV for amorphous films and ranged from 3.9 to 4.5 eV for polycrystalline films. The refractive index measured at λ = 550 nm increased from 1.97 to 2.20 with an increase in growth temperature from 80 to 300 °C. The films deposited at 80 °C showed low absorption with absorption coefficients of less than 100 cm−1 in the visible region.  相似文献   

5.
A laser physico-chemical vapour deposition (LPCVD) technique was developed based on the interaction of an ultraviolet laser beam with a boron nitride target and borazine gas to synthesize cubic boron nitride (CBN) thin films on silicon substrates. The process involved a hybrid of pulsed laser ablation (PLA) of a solid HBN target and chemical vapour deposition (CVD) using borazine as a feed stock. The films were characterized with scanning electron microscopy, X-ray diffraction and infrared spectroscopy. Results indicate that the thin films consisted of almost single-crystalline CBN structures and that the film quality in terms of adherence, particulate density and smoothness was excellent. The purity and crystal structure of target material, laser beam wavelength and energy fluence were the key variables that controlled the film characteristics. In contrast to LPCVD, the conventional PLA method did not generate CBN films.  相似文献   

6.
The use of ion bombardment in the modification of the surface mechanical properties of hafnium nitride has been investigated. Initially the deposition rate and the composition of the films prepared under different conditions of bias potential, partial pressure of N2 and substrate temperature during r.f. sputtering were analyzed by Rutherford backscattering spectrometry and 14N(d, ∝)12C nuclear reaction. The concentration of hafnium in the film was dependent on both the substrate temperature and the partial pressure of N2 during sputtering. Films bombarded with 500 keV Kr+ ions at different doses (1015-1017 ions cm-2) indicate only moderate changes in the microhardness compared with similar studies of TiN films. However, the HfN films showed a greater improvement in adhesion compared with TiN films at lower ion doses. Ion channeling studies on single-crystal stainless steel substrates did not show any evidence of ion-induced mixing or recoil implantation of hafnium into the substrate. Preliminary measurements of the sputtering yield at high energies indicate that the modifications in microhardness and adhesion of the films may be explained by possible recoil implantation of some nitrogen into the substrate.  相似文献   

7.
沉积参量对硼碳氮薄膜光透过性质的影响   总被引:2,自引:0,他引:2  
采用射频磁控溅射技术,用六角氮化硼和石墨为溅射靶,以氩气(Ar)和氮气(N2)为工作气体,在玻璃衬底上制备出硼碳氮(BCN)薄膜.通过改变氮气分压比、衬底温度及沉积时间,研究了沉积参量对薄膜光透过性质的影响.利用X射线光电子能谱(XPS)、原子力显微镜(AFM)及可见-近红外透过光谱对薄膜进行了表征.实验结果表明,所制备薄膜在400~1000nm波段具有较高透过率.并且沉积参量对BCN薄膜的透过性能有很大影响,适当改变沉积参量能获得透过率高于90%的BCN薄膜.在固定其它条件只改变一个沉积参量的情况下,得到制备具有较高透过率的BCN薄膜的最佳沉积条件:氮气分压比为1/3、沉积温度为300℃、沉积时间为1h.  相似文献   

8.
Secondary ion mass spectrometry (SIMS) provides the possibility for cross-sectional composition investigations of thin films. It is a very useful tool for the analysis of changes occurring under technological processes such as oxidation under heat treatment or anodization conditions. In the case of sputtered tantalum nitride films the results of SIMS analyses show that the really conductive part of the film is the middle section and that is bordered on both sides by insulator-like layers. These results confirm the conclusions of our earlier investigations. The SIMS spectra also show that during oxidation the concentration of the tantalum nitride in the outer layer decreases.  相似文献   

9.
In the present paper the change of the partial pressure of nitrogen during reactive dc triode sputtering of a Ta target in Ar+N2 gas mixture is spectroscopically investigated by means of a quadrupole mass spectrometer. AES analysis of thin films, deposited at different N2 partial pressures is carried out and the atomic concentration of the contaminants in the films is investigated. It is established that the change of nitrogen content in the sputtering gas and in the deposited films at different N2 partial pressures is connected with a nitridation of the Ta target.  相似文献   

10.
Xubo Yan 《Materials Letters》2010,64(11):1261-3011
Thin films of aluminum nitride (AlN) were deposited on stainless steel and glass substrates by a modified deposition technique, filtered arc ion plating, at an enhanced deposition rate. X-ray diffraction spectra confirmed the exclusive presence of AlN hexagonal wurtzite phase. Under a mixed gas (Ar + N2) pressure of 0.90 Pa and a bias voltage of − 400 V, the deposited films exhibited a fairly low surface roughness of 2.23 nm. The thin films were proved higher than 75% transparent in the visible spectral region. The bonding strength between the film and substrate was verified higher than 20 N. Thus high performance of such AlN thin films can be expected in applications.  相似文献   

11.
TiN, VN and CrN were systematically deposited on silicon substrates using ion beam assisted deposition (IBAD) technique at temperatures and ion (N2+) energy ranging from 300 °C to 500 °C and 100 eV to 650 eV, respectively. The results showed that the texture could be controlled by the ion beam energy, flux, and its incident angle, in conjunction with the deposition temperature. For the 0° angle of ion incidence, fiber textures were formed and could be controlled between (111) and (200) surface plane orientation by adjusting ion flux or ion energy. Three types of in-plane textures were produced, when the ion beam was incident at 45° angle, for which cases ion channeling played an important role in the formation of in-plane texture. Using the strain-energy perturbation method, the stability of texture can be further understood. Among the three in-plane textures, the (200) in-plane texture is strain-energy stable, and the others are not.  相似文献   

12.
We investigated the effect of annealing on the resistance of tantalum nitride films. It was found that about 80% of the observed changes were due to surface oxidation, while the rest came from resistivity changes. Oxidation at 250–350 °C followed a quartic time law with an activation energy of 1.45 eV. Tantalum films with 31–37 at.% nitrogen and varying in structure from fine-grained body-centered cubic to hexagonal close-packed and face-centered cubic showed the same oxidation kinetics and activation energy. Long term exposure in air at 125–175 °C, after annealing at 300–350 °C, caused further resistance changes with a parabolic time dependence and activation energy of about 1.25 eV. They resulted from additional oxide growth and from resistivity changes in a region of thickness 50 Å at the oxide-metal interface.  相似文献   

13.
Yong Ju Lee 《Thin solid films》2004,446(2):227-231
Aluminum nitride (AlN) thin films were deposited by atomic layer deposition from aluminum chloride (AlCl3) and an ammonia/hydrogen plasma. The most important role of the ammonia/hydrogen plasma was to act as a reducing agent to extract Cl from AlCl3, and to form AlN subsequently. The growth rate was saturated at ∼0.042 nm/cycle, and the thickness was proportional to the number of reaction cycles. Repeating this reaction cycle led to precisely controlled growth. The film properties were analyzed using Auger electron spectroscopy, X-ray photoelectron spectroscopy, Rutherford backscattering spectroscopy and time-of-flight elastic recoil detection analysis. The concentration of chlorine and hydrogen impurities was 0.23 and 2.01 at.%, respectively. AlN films showed good anti-oxidation properties when O2 was annealed at 650 °C for 30 min.  相似文献   

14.
The effect of applied voltage on the structure and composition of tantalum diboride films deposited by rf magnetron sputtering on steel substrates has been studied using x-ray diffraction and secondary ion mass spectrometry. It is established that, by varying the bias voltage, it is possible to obtain nanocrystalline textured and untextured deposits with various phase compositions.  相似文献   

15.
The technology of Hot Wire Chemical Vapor Deposition (HWCVD) or Catalytic Chemical Vapor Deposition (Cat-CVD) has made great progress during the last couple of years. This review discusses examples of significant progress. Specifically, silicon nitride deposition by HWCVD (HW-SiNx) is highlighted, as well as thin film silicon single junction and multijunction junction solar cells. The application of HW-SiNx at a deposition rate of 3 nm/s to polycrystalline Si wafer solar cells has led to cells with 15.7% efficiency and preliminary tests of our transparent and dense material obtained at record high deposition rates of 7.3 nm/s yielded 14.9% efficiency. We also present recent progress on Hot-Wire deposited thin film solar cells. The cell efficiency reached for (nanocrystalline) nc-Si:H n-i-p solar cells on textured Ag/ZnO presently is 8.6%. Such cells, used in triple junction cells together with Hot-Wire deposited proto-Si:H and plasma-deposited SiGe:H, have reached 10.9% efficiency. Further, in our research on utilizing the HWCVD technology for roll-to-roll production of flexible thin film solar cells we recently achieved experimental laboratory scale tandem modules with HWCVD active layers with initial efficiencies of 7.4% at an aperture area of 25 cm2.  相似文献   

16.
T. Kanzawa  H. Tsuji  J. Ishikawa 《Vacuum》2008,83(3):589-591
Hafnium nitride (HfN) thin films were prepared on Si (100) substrates by radio frequency magnetron sputtering with a compound target. Nitrogen composition, work function and electrical resistivity were investigated to evaluate thin film properties. Nitrogen composition and work function had little dependence on argon gas pressure and radio frequency power. Electrical resistivity showed strong correlation with the substrate temperature. When thin films were fabricated at room temperature, the electrical resistivity was 100 μΩ cm, and it became lower with an increase in the substrate temperature. When the films were fabricated at 600 °C, the resistivity became less than 50 μΩ cm.  相似文献   

17.
Insulating c-oriented hexagonal epitaxial gallium nitride (GaN) films have been obtained by means of pulsed laser sputtering of a gallium target in nonactivated nitrogen atmosphere. The GaN films were deposited onto (0001)-oriented sapphire substrates either directly or above a ZnO buffer layer. The laser-deposited films exhibit edge photoluminescence at 370 nm.  相似文献   

18.
采用射频磁控溅射法在Si(100)衬底上沉积了Ba0.65Sr0.35TiO3薄膜.借助XRD、AFM和SEM研究了衬底温度、退火温度、溅射气压等不同的溅射参数对Ba0.65Sr0.35TiO3薄膜的晶化行为和显微结构的影响.在室温下沉积并未经退火处理的Ba0.65Sr0.35TiO3 薄膜是无定形态,在较高温度下沉积的薄膜晶化相对较好;随着在氧气气氛中退火温度的升高,X射线衍射峰的半峰宽变窄,衍射峰强度增强;在0.37~1.2Pa气压下沉积的Ba0.65Sr0.35TiO3薄膜有(110)和(200)主衍射峰,且其强度随溅射气压的增加而增强;当溅射气压继续升到3.9Pa,(110)和(200)衍射峰明显增强,说明Ba0.65Sr0.35TiO3 薄膜具有(110) (200)择优取向.AFM和SEM结果显示薄膜晶粒细小均匀、结构致密、表面平整,且无裂纹、无孔洞.分析结果显示优化工艺参数制备的Ba0.65Sr0.35TiO3 薄膜是用以制备非致冷红外探测器的优质材料.  相似文献   

19.
Titanium nitride thin films were deposited by direct current magnetron sputtering with various tantalum (Ta) concentrations (2, 4 and 8 at.%). The films were characterized using UV/VIS spectrophotometer. Atomic force microscopy (AFM), high resolution transmission electron microscope (HRTEM) were used to observe the microstructure and X-ray photoelectron spectroscopy was used to investigate the core level and the valence band of the films. It was found that the film with 2 at.% Ta is more reflective in the infrared range and more transparent in the visible region (selective behavior). The AFM showed smooth nanostructured surface for the film without Ta addition. It was found that the films with 2 at.% Ta presented relatively coarser grains with larger roughness and the reflectance are not controlled by the surface morphology. Also, this film presented higher electrical conductivity. HRTEM analysis showed that 2 at.% Ta addition gave rise to well crystallized films with elongated nanocrystallites in comparison with the films having 0, 4 and 8 at.% Ta contents.  相似文献   

20.
In this work, Silicon Carbon Nitride (Si-C-N) thin films were deposited by Hot Wire Chemical Vapour Deposition (HWCVD) technique from a gas mixture of silane (SiH4), methane (CH4) and nitrogen (N2). Six sets of Si-C-N thin films were produced and studied. The component gas flow rate ratio (SiH4:CH4:N2) was kept constant for all film samples. The total gas flow-rate (SiH4 + CH4 + N2) was changed for each set of films resulting in different total gas pressure which represented the deposition pressure for each of these films ranging from 40 to 100 Pa. The effects of deposition pressure on the chemical bonding, elemental composition and optical properties of the Si-C-N were studied using Fourier transform infrared (FTIR) spectroscopy, Auger Electron Spectroscopy (AES) and optical transmission spectroscopy respectively. This work shows that the films are silicon rich and multi-phase in structure showing significant presence of hydrogenated amorphous silicon (a-Si:H) phase, amorphous silicon carbide (a-SiC), and amorphous silicon nitride (a-SiN) phases with Si-C being the most dominant. Below 85 Pa, carbon content is low, and the films are more a-Si:H like. At 85 Pa and above, the films become more Si-C like as carbon content is much higher and carbon incorporation influences the optical properties of the films. The properties clearly indicated that the films underwent a transition between two dominant phases and were dependent on pressure.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号