首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The vision of achieving a completely in-vacuum process for fabricating HgCdTe detector arrays is contingent on the availability of a vacuumcompatible photolithography technology. One such technology for vacuum photolithography involves the use of amorphous-hydrogenated Si (a-Si:H) as a photoresist. In this work, we deposit a-Si:H resists via plasma-enhanced chemical-vapor deposition (PECVD) using an Ar-diluted silane precursor. The resists are then patterned via excimer laser exposure and development etched in a hydrogen plasma where etch selectivities between unexposed and exposed regions exceed 600:1. To determine the best conditions for the technique, we investigate the effects of different exposure environments and carry out an analysis of the a-Si:H surfaces before and after development etching. Analysis via transmission electron microscopy (TEM) reveals that the excimer-exposed surfaces are polycrystalline in nature, indicating that the mechanism for pattern generation in this study is based on melting and crystallization. To demonstrate pattern transfer, underlying CdTe films were etched (after development of the resist) in an electron cyclotron resonance (ECR) plasma, where etch selectivities of approximately 8:1 have been achieved. The significance of this work is the demonstration of laser-induced poly-Si as an etching mask for vacuum-compatible photolithography.  相似文献   

2.
A vacuum-compatible process for carrying out lithography on Hg1−xCdxTe and CdTe films was previously demonstrated. It was shown that hydrogenated amorphous silicon (a-Si:H) could be used as a dry resist by projecting a pattern onto its surface using excimer laser irradiation and then developing that pattern by hydrogen plasma etching. Pattern transfer to an underlying Hg1−xCdxTe film was then carried out via Ar/H2 plasma etching in an electron cyclotron resonance (ECR) reactor. Despite the successful demonstration of pattern transfer, the possibility of inducing harmful effects in the Hg1−xCdxTe film due to this vacuum lithography procedure had not been explored. Here we present structural and surface compositional analyses of Hg1−xCdxTe films at key stages of the a-Si:H vacuum lithography procedure. X-ray diffraction double crystal rocking curves taken before and after a-Si:H deposition and after development etching were identical, indicating that bulk structural changes in the Hg1−xCdxTe film are not induced by these processes. Cross-section transmission electron microscopy studies show that laser-induced heating in the 350 nm thick a-Si:H overlayer is not sufficient to cause structural damage in the underlying Hg1−xCdxTe surface. In vacuo surface analysis via Auger electron spectroscopy and ion scattering spectroscopy suggest that the hydrogen plasma development process produces Hg-deficient surfaces but does not introduce C contamination. However, after ECR plasma etching into the Hg1−xCdxTe film, the measured x value is much closer to that of the bulk.  相似文献   

3.
An experimental study has been carried out on the performance of n-type x = 0.31 HgCdTe photoconductive detectors in order to evaluate two different etching techniques; dry plasma etching, in the form of H2/CH4 reactive ion etching (RIE), and wet chemical etching using bromine in hydrobromic acid. Two-dimensional laser beam-induced current (LBIC) imaging was employed as an in-line process monitoring tool to evaluate the lateral extent of reactive ion etching (RIE) induced doping changes in the HgCdTe epilayer following mesa delineation. Responsivity and noise measurements were performed on fabricated mid-wavelength infrared (MWIR) photoconductive devices to evaluate the influence dry plasma etching has on material properties. For a signal wavelength of 3 μm, 60° field of view, and a temperature of 80 K, background limited D λ * performance was recorded for wet chemical processed devices but not for the dry plasma processed devices. The D λ * values obtained for wet chemical and dry plasma etched photoconductive detectors were 2.5×1011 cmHz1/2W−1 and 1.0×1010 cmHz1/2W−1, respectively. Mercury annealing, which has been shown to restore the electrical properties of dry plasma processed HgCdTe, could be used to lessen the influence that RIE dry plasma etching has on photoconductor detector performance.  相似文献   

4.
The microstructure of p-n device structures grown by liquid-phase epitaxy (LPE) on CdZnTe substrates has been evaluated using transmission electron microscopy (TEM). The devices consisted of thick (∼21-μm) n-type layers and thin (∼1.6-μm) p-type layers, with final CdTe (∼0.5 μm) passivation layers. Initial observations revealed small defects, both within the n-type layer (doped with 8×1014/cm3 of In) and also within the p-type layer but at a much reduced level. These defects were not visible, however, in cross-sectional samples prepared by ion milling with the sample held at liquid nitrogen temperature. Only isolated growth defects were observed in samples having low indium doping levels (2×1014/cm3). The CdTe passivation layers were generally columnar and polycrystalline, and interfaces with the p-type HgCdTe layers were uneven. No obvious structural changes were apparent in the region of the CdTe/HgCdTe interfaces as a result of annealing at 250°C.  相似文献   

5.
Transmission electron microscopy (TEM) was used to evaluate the microstructure of molecular beam epitaxy (MBE) grown (211)B oriented HgCdTe films. TEM analysis of in-situ doped p-on-n and n-p-n device structures will be presented. Under fully optimized growth conditions the substrate-epilayer interface is free of threading dislocations and twins, and a high degree of structural integrity is retained throughout the entire device structure. However, under non-optimal growth conditions that employ high Hg/Te flux ratios, twins can be generated in the p-type layer of p-on-n device structure, resulting in roughness and facetting of the film surface. We propose a mechanism for twin formation that is associated with surface facetting. TEM evaluation of voids, threading dislocations and Te-precipitates in HgCdTe films are also discussed.  相似文献   

6.
Passivant-Hg1−xCdxTe interface has been studied for the CdTe and anodic oxide (AO) passivants. The former passivation process yields five times lower surface recombination velocity than the latter process. Temperature dependence of surface recombination velocity of the CdTe/n-HgCdTe and AO/n-HgCdTe interface is analyzed. Activation energy of the surface traps for CdTe and AO-passivated wafers are estimated to be in the range of 7–10 meV. These levels are understood to be arising from Hg vacancies at the HgCdTe surface. Fixed charge density for CdTe/n-HgCdTe interface measured by CV technique is 5×1010 cm−2, which is comparable to the epitaxially grown CdTe films. An order of magnitude improvement in responsivity and a factor of 4 increase in specific detectivity (D*) is achieved by CdTe passivation over AO passivation. This study has been conducted on photoconductive detectors to qualify the CdTe passivation process, with an ultimate aim to use it for the passivation of p-on-n and n-on-p HgCdTe photodiodes.  相似文献   

7.
Inductively coupled plasmas (ICP) are the high-density plasmas of choice for the processing of HgCdTe and related compounds. Most dry plasma process works have been performed on HgCdTe for pixel delineation and the p-to-n-type conversion of HgCdTe. We would like to use the advantages of “dry” plasma processing to perform passivation etching of HgCdTe. Plasma processing promises the ability to create small vias, 2 μm or less with excellent uniformity across a wafer, good run-to-run uniformity, and good etch rate control. In this study we developed processes to controllably etch CdTe, the most common passivation material used for photovoltaic-based HgCdTe devices. We created a process based on xenon gas that allows for the slow controllable CdTe etch at only 0.035 μm/min, with smooth morphology and rounded corners to promote further processing.  相似文献   

8.
谢珩  王宪谋  王骏 《激光与红外》2017,47(3):319-321
介绍了倒装互连技术的工艺原理,阐述了红外焦平面器件倒装互连的工艺特点。通过系列实验和分析,最终优化并确定了百万像素级红外焦平面器件倒装互连的工艺参数,获得了良好的互连效果。  相似文献   

9.
The role of hydrogen incorporation in H2/CH4 reactive ion etching (RIE) induced type-conversion of p-type HgCdTe is investigated. A model is proposed in which hydrogen is incorporated into the HgCdTe crystal lattice in at least three different forms. It is proposed that the junction formation mechanism is a mixture of RIE-induced damage and Hg interstitial formation to which hydrogen forms strong bonds, and hydrogen-induced neutralization of acceptors. Confirmation of the model is presented based on experimental secondary ion mass spectroscopy of RIE-induced junctions, transport measurements reported previously, and initial diode bake stability testing.  相似文献   

10.
The application of spectroscopic ellipsometry (SE) for real-time composition determination during molecular beam epitaxy (MBE) growth of Hg1−xCdxTe alloys with x>0.5 is reported. Techniques previously developed for SE determination of composition in long-wavelength infrared (LWIR) HgCdTe have been successfully extended to near-infrared HgCdTe avalanche photodiode (APD) device structures with x values in the range of 0.6–0.8. Ellipsometric data collected over a spectral range of 1.7–5 eV were used to measure depth profiles of HgCdTe alloy composition through the use of an optical model of the growth surface. The optical model used a dielectric-function database collected through the growth of a set of HgCdTe calibration samples with x ranging from 0.6 to 0.8. The sensitivity of this SE method of composition determination is estimated to be Δx ∼0.0002 at x=0.6, which is sufficiently low to sense composition changes arising from flux variations of less than 0.1%. Errors in composition determination because of Hg-flux variations appear to be inconsequential, while substrate-temperature fluctuations have been observed to alter the derived composition at a rate of −0.0004/°C. By comparing the composition inferred from SE and postgrowth 300 K IR transmission measurements on a set of APD device structures, the run-to-run precision of the Se-derived composition (at x=0.6) is estimated to be ±0.0012, which is equivalent to the precision achieved with the same instrumentation during the growth of mid-wavelength infrared (MWIR) HgCdTe alloys in the same MBE system.  相似文献   

11.
报道了液氮温度下激光束诱导电流(LBIC)和I-V测试两种在HgCdTe器件中pn结结区扩展的表征方法.通过LBIC和I-V测试,发现了p型HgCdTe材料中由B+离子注入成结和干法刻蚀成结对材料造成的损伤使得有效结区范围大于注入和刻蚀面积,并获得n区横向扩展.同时,通过对比,相互印证两种方法得到的测试结果一致.  相似文献   

12.
This paper reviews the current status of the growth of fully doped HgCdTe (MCT) devices by metalorganic vapor phase epitaxy (MOVPE). The current reactor system has been developed to produce 3-inch diameter epitaxial layers compatible with slice-scale processing. The new reactor system has achieved routine epitaxial growth of MCT with good morphology onto both gallium arsenide (GaAs) and GaAs on silicon (Si) wafers that were oriented (2–8°) off (100) orientation. The density of surface defects (so-called “hillocks”), typical of MOVPE growth on such orientation substrates, has been reduced to <5 cm−2 at a sufficient yield to make the production of low cluster defect 2D arrays possible. Alternative growth experiments onto cadmium telluride (CdTe) on Si substrates with (211)B orientation have also been performed to investigate their usefulness for infrared focal plane array (IRFPA) applications. Si substrates give better thermal expansion match to the read out Si circuits (ROIC). The horizontal reactor cell design has a graphite susceptor with a rotating platen capable of using substrates up to 4-inch diameter. Work, however, has concentrated on 3-inch diameter GaAs and GaAs on Si wafers substrates in the reactor, and these reproducibly demonstrated good compositional and thickness uniformity. Cut-off wavelength and thickness uniformity maps showed that there was sufficient uniformity to produce twelve sites of large format 2D arrays (640×512 diodes on 24-μm pitch) per slice. Minority carrier lifetimes in heterostructures is an important parameter and some factors affecting this are discussed, with special emphasis on As-doped material grown under various growth conditions in an attempt to reduce Shockley-Read (S-R) trap densities. New data are presented on trap densities and theoretical fitting of lifetimes in MOVPE material. Fully doped heterostructures have been grown to investigate the device performance in the 3–5 μm medium-wave IR (MWIR) band and 8–12 μm long-wave IR (LWIR). These layers have been fabricated into mesa arrays and then indium-bumped onto Si multiplexers. A summary of the 80-K device results shows that state-of-the-art device performance has been demonstrated in MOVPE-grown device structures.  相似文献   

13.
We report on a new, simple process to fabricate planar Hg1−yCdyTe/Hg1−xCdxTe (x<y) heterostructure photodiodes with p-on-n configuration. The material used for this demonstration was a double-layer p-on-n heterostructure that was grown by a liquid-phase-epitaxy technique. The p-on-n planar devices consisted of an arsenic-doped p-type epilayer (y=0.28) on top of a long-wavelength infrared n-type epilayer (x=0.225, =10 m). The ion-beam-milling p-type to n-type conversion effect was used to delineate the active device element, and to isolate the planar device. Detailed analysis of the current-voltage characteristics of these diodes as a function of temperature show that they have high performance, and that their dark current is diffusion-limited down to 60 K. The results show that over a wide range of cut-off wavelengths, the R0A product values are close to the theoretical limit. Electro-optic properties of a 2-D array of small diodes with a 60- m pitch are presented, and demonstrate the potential of the new process for implementation of 2-D arrays. The electrical properties of the photodiodes are stable following long-term annealing at 80°C for 48 hours.  相似文献   

14.
We have examined the etching of HgCdTe (x=0.2) with bromine/ethylene glycol (Br/EG) solutions. Using a spectroscopic ellipsometer, we tracked the ellipsometric parameters (ψ and Δ) of the freshly etched HgCdTe surfaces. Parameters ψ and Δ were measured periodically as these values changed with the surface exposed to air. A second set of Br/EG-etched samples was stored in deionized (DI) water. We found that DI water effectively preserved the freshly etched HgCdTe surface for a period of several hours. Comparison with the literature on HgCdTe surface chemistry implies that oxide growth is inhibited on the etched HgCdTe samples immersed in DI water. Modeling results based on the measured ψ and Δ values agree with this assessment.  相似文献   

15.
Progress in MOVPE of HgCdTe for advanced infrared detectors   总被引:1,自引:0,他引:1  
This paper reviews the significant progress made over the past five years in the development of metalorganic vapor phase epitaxy (MOVPE) for the in situ growth of HgCdTe p-n junction devices for infrared detector arrays. The two basic approaches for MOVPE growth of HgCdTe, the interdiffused multilayer process (IMP), and direct alloy growth (DAG) are compared. The paper then focuses on the progress achieved with the IMP approach on lattice-matched CdZnTe substrates. The benefits of the precursors ethyl iodide (EI) and tris-dimethylaminoarsenic (DMAAs) for controlled iodine donor doping and arsenic acceptor doping at dopant concentrations relevant for HgCdTe junction devices are summarized along with the electrical and lifetime properties of n-type and p-type HgCdTe films grown with these precursors. The relative merits of the two CdZnTe substrate orientations we have used, the (211)B and the (100) with 4°–8° misorientation are compared, and the reasons why the (211)B is preferred are discussed. The growth and repeatability results, based on secondary ion mass spectrometry analysis, are reported for a series of double-heterojunction p-n-N-P dual-band HgCdTe films for simultaneous detection in the 3–5 μm and 8–10 μm wavelength bands. Finally, the device characteristics of MOVPE-IMP in situ grown p-on-n heterojunction detectors operating in the 8–12 μm band are reviewed and compared with state-of-the-art liquid phase epitaxial grown devices.  相似文献   

16.
High density plasma etching of mercury cadmium telluride using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry is used to identify and monitor etch products evolving from the surface during plasma etching. The identifiable primary etch products are elemental Hg, TeH2, and Cd(CH3)2. Their relative concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy and substrate temperature are varied. General insights are made into surface chemistry mechanisms of the etch process. These insights are evaluated by examining etch anisotropy and damage to the remaining semiconductor material. Regions of process parameter space best suited to moderate rate, anisotropic, low damage etching of HgCdTe are identified.  相似文献   

17.
Extensive material, device, and focal plane array (FPA) reproducibility data are presented to demonstrate significant advances made in the molecular beam epitaxial (MBE) HgCdTe technology. Excellent control of the composition, growth rate, layer thickness, doping concentration, dislocation density, and transport characteristics has been demonstrated. A change in the bandgap is readily achieved by adjusting the beam fluxes, demonstrating the flexibility of MBE in responding to the needs of infrared detection applications in various spectral bands. High performance of photodiodes fabricated on MBE HgCdTe layers reflects on the overall quality of the grown material. The photodiodes were planar p-on-n junctions fabricated by As ion-implantation into indium doped, n-type, in situ grown double layer heterostructures. At 77K, diodes fabricated on MBE Hg1−xCdxTe with x ≈ 0.30 (λco 5.6 μm), x ≈ 0.26 (λco 7 μm), x ≈ 0.23 (λco ≈ 10 μm) show R0A products in excess of 1 x 106 ohm-cm2, 7 x 105 ohm-cm2, and 3 x 102 ohm-cm2, respectively. These devices also show high quantum efficiency. As a means to assess the uniformity of the MBE HgCdTe material, two-dimensional 64 x 64 and 128 x 128 mosaic detector arrays were hybridized to Si multiplexers. These focal plane arrays show an operability as high as 97% at 77K for the x ≈ 0.23 spectral band and 93% at 77K for the x ≈ 0.26 spectral band. The operability is limited partly by the density of void-type defects that are present in the MBE grown layers and are easily identified under an optical microscope.  相似文献   

18.
Mercury cadmium telluride (Hg1?xCdxTe or MCT) has been commonly used in devices for infrared (IR) detection. For the optimum performance of the device, a compatible surface-passivation technology that provides long-term stability is required. Using x-ray photoelectron spectroscopy (XPS), the present study examines the effects on Hg0.8Cd0.2Te passivated with CdTe and ZnS undergoing baking in vacuum at temperatures typically used for dewar bakeout. Spectra recorded as a function of depth in both cases clearly show out-diffusion of Hg from the substrate toward the surface, even before the bakeout. On baking in vacuum, dramatic changes are observed in the ZnS/MCT case with complete loss of Hg from the sample up to the tested depth of more than 1,000 Å. Compositions of the HgCdTe matrix, formed after Hg out-diffusion, before and after the bakeout are also calculated at selected depths (from 250 Å to 700 Å), which is vital information from a device point of view, as it affects the bandgap of this narrow-band semiconductor.  相似文献   

19.
HgCdTe is an attractive material for room-temperature avalanche photodetectors (APDs) operated at 1.3–1.6 μm wavelengths for fiber optical communication applications because of its bandgap tunability and the resonant enhancement of hole impact ionization for CdTe fractions near 0.73. The HgCdTe based separate absorption and multiplication avalanche photodetector is designed and fabricated for backside illumination through a CdZnTe substrate. The multi-layer device structure is comprised of seven layers including 1). n + contact 2). n diffusion buffer 3). n absorber 4). n charge sheet 5). n avalanche gain 6). p to form junction, and 7).p + contact. Several wafers were processed into 45 μm × 45 μm and 100 (μm × 100 μm devices. The mean value of avalanche voltage is 63.7 V measured at room temperature. At 1 GHz, the device shows a gain of about 7 for a gain-bandwidth product of 7 GHz. This first demonstration of an all molecular beam epitaxially grown HgCdTe multi-layer heterojunction structure on CdZnTe substrates represents a significant advance toward the goal of producing reliable room temperature HgCdTe high speed, low noise avalanche photodetectors.  相似文献   

20.
本文首次报道成功地实现了非晶态半导体准周期(无平移对称性)超晶格结构.利用辉光放电汽相淀积技术,由两种超薄的a-Si:H层和a-SiN_x:H层按Fibonacci序列程序淀积而构成一维准周期超晶格.其中两种一维周期格子的调制波长比为黄金分割τ=(1+5~(1/2))/2.剖面电子显微像和相应的电子衍射花样揭示出这类新型非晶态半导体超晶格的奇异性质.简单的理论计算给予实验衍射图像以明确的物理解释.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号