首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 125 毫秒
1.
EDA技术综述   总被引:3,自引:1,他引:2  
EDA技术已成为现代系统设计和电子产品研制开发的有效工具,成为电子工程师应具备的基本能力,介绍EDA技术,可编程逻辑器件和硬件描述语言的基本概念,着重分析EDA技术的发展历程、主要内容和数字系统层次化设计方法及应用展望等.  相似文献   

2.
与利用微处理器(CPU或Mcu)来实现乐曲演奏相比,以纯硬件完成乐曲演奏电路的逻辑要复杂得多,如果不借助于功能强大的EDA工具和硬件描述语言,仅凭传统的数字逻辑技术,即使最简单的演奏电路也难以实现。本文重点介绍用杭州康芯电子有限公司生产的GW48系列EDA实验系统,利用数控分频器设计硬件乐曲演奏电路(电子琴),使读者初步了解VHDL硬件描述语言和Quartus Ⅱ开发环境。  相似文献   

3.
梁丽 《计算机仿真》2005,22(2):218-220
该文采用EDA技术对电子密码锁进行设计,介绍了设计电子密码锁所采用的设计语言、仿真平台与开发系统,描述了电子密码锁的总体结构、主要功能与设计流程,给出了电子密码锁设计的仿真结果,阐述了计算机仿真在电子系统设计中的功能和重要作用。实践证明,EDA技术将电子系统的软件设计与硬件设计有机地融为一体,为电子系统设计提供了全新的手段,它是现代电子设计的发展趋势。  相似文献   

4.
随着超大规模电路及计算机技术的快速发展,EDA技术被广泛应用到通讯、国防、空间技术、医药科学、工业自动化和智能装置等领域。着重讨论了采用EDA技术设计的一种基于VHDL语言的电子密码锁。实验结果表明,与单片机系统设计的密码锁相比,基于VHDL语言的电子密码锁具有更高的实时性、高运算速度以及高集成度。  相似文献   

5.
电子电路仿真设计,是现代电子工程领域进行电路系统设计的EDA新技术,设计方案介绍了EDA软件-AltiumDesigner的特点及主要仿真功能,并以Altium公司推出和应用较广的Protel99SE为例,介绍了静态工作点分析、傅立叶瞬态分析、频率特性分析、参数扫描分析、温度扫描分析和噪声分析等电路仿真设计的方法,可以优化电路性能、缩短设计周期和提高设计效率。  相似文献   

6.
EDA技术是以计算机为主要工具,搭建EDA设计平台,通过使用硬件描述语言,完成现代电路与系统设计工作的一项技术。EDA技术,具有强大的仿真测试能力,通过仿真测试,即可完成电路和系统设计工作。所以,在未来的电路和系统设计工作中,EDA技术必将得到更多的重视和更深刻的发展。本文就EDA技术的优势,EDA技术在电路和系统设计中应用实践,展开讨论。  相似文献   

7.
随着科技信息技术的发展与进步,计算机技术、信息技术、CAM、CAT等多种技术而不断成熟,EDA技术也逐渐的在更多的领域得到了广泛应用,本文基于此,在对EDA技术及其设计流程进行研究的基础上,从虚拟数字电子技术实验构架设计及模块设计两方面分析了EDA技术在数字电子技术实验中的具体应用。  相似文献   

8.
随着我国科学技术的不断发展和进步,计算机技术、信息技术、CAM等技术也越来越成熟,其中EDA技术也渐渐被应用到更多领域中.基于此,文章先分析了EDA技术相关概念及其设计流程,然后对EDA技术在数字电子技术实验中的应用效果进行了探究.  相似文献   

9.
本文设计实现了一种高精度数字频率计。频率计核心部分的设计采用了基于FPGA大规模可编程逻辑器件的EDA设计技术。根据直接测频原理建立数字频率计的系统结构框图,自顶向下把数字频率计按照实现功能的不同划分成多个子功能模块并用VHDL程序实现了每个子模块的功能,最后将各个模块级联起来构成数字频率计顶层电路。设计的频率计信号频率测量范围为1Hz~10MHz。在QUARTUS II平台软件平台上完成数字频率计的软件设计和仿真,结果表明所设计的数字频率计达到了设计精度要求,并且各项性能指标符合设计要求。  相似文献   

10.
基于Proteus的数字时钟设计与仿真   总被引:1,自引:1,他引:0  
王锋 《福建电脑》2009,25(10):135-136
介绍一种基于Proteus设计的数字时钟,并对该电路进行了仿真,给出仿真结果。Proteus仿真软件作为一款EDA软件可以很好应用于电子电路的开发设计,降低设计成本,缩短开发周期,提高效率。  相似文献   

11.
在分析传统数字电路教学现状的基础上,提出将EDA技术融入数字电路教学改革中,通过实例说明EDA在数字电路教学中的优点。实践证明,教学改革改善了数字电路的课堂教学和实验教学方法,提高了教学效果、满足了现代数字系统设计的要求。  相似文献   

12.
伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。利用EDA工具可以在电子设计的各个阶段、各个层次进行计算机模拟仿真,保证设计工程的正确性,可以大大降低设计成本,缩短设计周期。交通灯控制系统可以实现交叉路口的红、绿灯自动控制,基于FPGA的交通控制系统具有电路简单、实时快速擦写、运算速度快、故障率低、可靠性高,而且体积小等特点。系统通过功能扩展、接口扩展可同时控制多个路口的红绿灯变换,可根据需要实现实时、快速擦写应用程序。设计采用了VHDL的结构描述风格,依据功能将系统分为控制模块、计数模块、显示模块、译码等模块,仿真结果表明控制系统自动实现了灯色的交替和转换,以及倒计时显示。  相似文献   

13.
任明强  张平 《微机发展》1998,8(5):49-51
计算机技术和微电子工业的发展使电子系统的复杂度越来越高。在电子设计领域,产生了高层次的电子设计方法-EDA技术。本文简要介绍了EDA技术的发展过程,阐述了EDA技术在两个不同层次上的工作流程,即系统设计和电路设计,引入一种自上而下的高层次电子设计方法。  相似文献   

14.
利用EDA技术进行电子电路的设计与优化,能帮助学生掌握最先进的电子电路设计方法和技能,是培养和提高学生实际动手能力的有效途径.通过一个模拟电路和一个数字电路的仿真实例分析,介绍了EDA技术中Multisim8.0软件平台在优化电子电路设计性实验中的具体应用及特点,阐明了利用Multisim8.0软件平台进行电子电路设计性实验的优越性,并提出利用EDA技术实施软件一硬件结合是电子电路实验教学的发展方向.  相似文献   

15.
EDA技术发展迅速,Electronics Workbench(简称EWB)是目前各种电子电路辅助分析与设计软件中最优秀的软件之一,该软件具有模拟和数字电路的设计、分析、仿真功能.介绍一种基于EWB仿真平台设计交通信号灯控制系统的方法.  相似文献   

16.
EDA技术发展迅速,Electronics Workbench(简称EWB)是目前各种电子电路辅助分析与设计软件中最优秀的软件之一,该软件具有模拟和数字电路的设计、分析、仿真功能。介绍一种基于EWB仿真平台设计交通信号灯控制系统的方法。  相似文献   

17.
Proteus在单片机电路系统设计中的应用   总被引:10,自引:0,他引:10  
随着现代计算机技术的迅速发展,使用EDA软件进行的电路设计与仿真已经成为现代电子技术系统设计的必然趋势,众多工科院校均在电路实践教学的改革中引入了EDA技术,该文介绍了Proteus软件的功能和特点,并结合了具体的例子说明了如何用Proteus实现单片机电路系统设计与仿真的方法。  相似文献   

18.
在QuartusII软件平台上结合我校自行研制的EDA课程设计实验板,完成了数字电子钟芯片的设计和硬件实现。侧重于逻辑电路的设计同时采用VHDL硬件描述语言辅助完成对电路的功能仿真。在设计过程中,重点探讨了数字电子钟的设计思路和功能模块划分,对设计过程中出现的问题详细进行分析。  相似文献   

19.
黄栋  余综 《计算机工程与设计》2006,27(17):3273-3276
随着网络技术的发展,在硬件上增加模式匹配的功能模块,来提高网络数据处理速度的需求越来越普遍。对目前现有的模式匹配算法进行了研究,并结合数字逻辑电路的特点,在现场可编程门阵列(FPGA)芯片上实现了字符串的模式匹配功能。最后,借助电子设计自动化(EDA)工具对设计进行了验证和性能分析,结果表明符合设计需求。  相似文献   

20.
基于multisim的电路设计与仿真   总被引:15,自引:0,他引:15  
张晶  李心广 《计算机仿真》2005,22(5):109-111
电子设计自动化(EDA)技术是电子设计领域的一场革命,改变了以变量估算和电路实验为基础的电路设计方法:Multisim是一个专门用于电子线路仿真与设计的EDA工具软什,能完成从电路的仿真设计到电路版图生成的全过程,从而为电子系统的设计、电子产品的开发和电子系统工程提供了一种全新的手段和便捷的途径。该文介绍了它的主要功能、特点,并结合电子电路实例叙述其设计、仿真与分析的具体运用。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号