首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 109 毫秒
1.
王洋  孙晋科  冯永新 《电子技术》2011,38(10):37-39
数字频率合成(DDS)结构简单、易于控制,产生的跳频信号具有很高的频率分辨率和频率转换速度.文章通过对DDS原理的分析,在FPGA平台下对基于DDS的跳频信号源进行设计,并通过优化参数设置,进一步提高跳频信号源的整体性能.  相似文献   

2.
跳频技术在短距离通信领域内具有很强的抗干扰能力.根据直接数字频率合成(DDS)稳定度高、频率分辨力高、频率切换快的优点,提出了一种基于DDS的跳频(FH)/频移键控(FSK)调制器实现方案,并用FPGA实现.通过modelsim仿真,表明已达到设计目的.该跳频调制器可在20 MHz范围内实现全频段跳频.如果制成专用集成电路芯片,成本可大大降低.  相似文献   

3.
介绍了一种可通过PC机或SCM将窄带信号源和能够实现跳频功能的频率、相位控制宇输入到直接数字频率合成器DDS芯片AD9851中,从而实现跳频射频源的设计。其中重点介绍了DDS在跳频扩频通信系统中的应用和整个实现电路控制系统的硬件结构和软件设计。  相似文献   

4.
跣频频率合成器是跳频收发系统设计的核心,也是技术实现的一个难点.提出一种应用DDS和PLL实现高速跳频的频率合成设计方案,并对其硬件进行了详细设计,最后对其所能达到的性能指标进行估算.结果表明,该方案能够满足系统设计的要求,其创新点在于把DDS和PLL的优点有机地结合起来实现了高速跳频,摒弃了用直接数字频率合成DDS输出频率不能太高或用锁相环PLL合成频率锁定时间较长的缺点.  相似文献   

5.
宽带DDS跳频源设计   总被引:1,自引:0,他引:1  
直接数字合成(DDS)简单可靠、控制方便,具有很高的频率分辨率,高速转换,非常适合快速跳频的要求。在对DDS基本原理进行了简要介绍和分析后,提出宽带跳频源设计方案。  相似文献   

6.
本文对比分析了现在广泛应用的几种频率合成技术,根据短波跳频电台的技术特点,实现了一种直接数字频率合成(DDS) 锁相环路(PLL)频率合成器的设计。它采用DDS输出作为PLL参考源的方法,实现了短波电台100Hz的频率间隔以及跳频系统所要求的快速频率转换和低相位噪声的统一。  相似文献   

7.
穆晓华  徐军 《现代电子技术》2006,29(21):108-109,117
DDS具有频率分辨率高、频率转换速度快、相位噪声低等优良的性能。介绍了AD公司的高性能DDS芯片AD9956的基本原理和主要性能,并运用其设计了一个快速跳频的频率合成器。测试结果表明:杂散抑制优于-80 dBc,跳频时间小于100 ns。最后根据实验结果分析和总结了DDS杂散的分布特性以及改善措施。  相似文献   

8.
孟祥军 《电子测试》2016,(1):123-126
针对于传统的通信系统中跳频速度慢、分辨率不足的问题,设计了基于DSP+DDS的高速度、高分辨率的跳频器。通过DSP对DDS的制产生调频序列,同时通过自学习产生频率幅度曲线,对产生的信号进行闭环控制产生高稳定频率和幅度输出。  相似文献   

9.
《无线电工程》2018,(4):324-328
针对高速跳频通信系统的需求,设计并实现了一款工作在C波段、带宽为1 GHz、步进为3 MHz的宽带频率源。该频率源采用DDS激励PLL方案,用FPGA控制DDS实现低频段的小步进跳频,再用乒乓式锁相环进行倍频得到最终输出。采用2路DDS基准时钟来保证杂散指标,并对跳频时间和相位噪声等指标进行简单预算,得到整个系统最大跳频时间小于1μs,相位噪声优于-106 d Bc/Hz/10 k Hz,杂散优于-60 d Bc。  相似文献   

10.
应用DDS芯片AD9850实现跳频   总被引:3,自引:0,他引:3  
介绍用自制小键盘 (4× 4 )输入所要求的输出频率值 ,用 89C51单片微机控制直接数字频率合成器 DDS实现跳频的过程 ,及单片微机控制系统的硬件结构、软件设计和采用 DDS专用芯片 AD9850实现跳频的方法  相似文献   

11.
刘韬 《电子科技》2013,26(1):56-58
介绍了DDS的基本工作原理,阐述了DDS技术局限性,最终实现了一种基于FPGA+DDS 可编程低相位噪声的频率源,输出信号范围170~228 MHz。测试结果表明,该频率源具有高频率分辨率和低相位噪声等特点,能够满足通信系统对频率源的设计要求。  相似文献   

12.
郭瑞 《现代导航》2012,3(3):228-230
定时及DDS信号源模块是将定时器和DDS信号源两个功能集成在一个模块中实现,能够完成定时信号和DDS信号的产生,并对DDS信号8倍频后,输出中心频率2.4GHz调频/调相信号。本设计采用多种方法较好的解决了模拟信号和数字信号相互影响的问题,保证了DDS输出稳定的调频信号,在实际工作中取得了良好的效果。  相似文献   

13.
DDS是一种新型频率合成技术 ,应用日益广泛 ,但杂散含量高成为其进一步发展的瓶颈。本文首先简要介绍传统 DDS,然后对计算法 DDS做数字实现和频谱分析 ,最后比较 2种 DDS的杂散性能 ,得出计算法 DDS杂散性能优于传统 DDS杂散性能  相似文献   

14.
S波段DDS/PLL频率合成技术研究   总被引:8,自引:2,他引:6  
DDS是一种数字波形合成技术,具有频率转换速度快、频率分辨率高、相位噪声低等优良性能,因此利用DDS作为可变参考源是比较理想的。本文采用DDS作为参考源驱动PLL频率合成器,实现了一个用于S波段遥测接收机的DDS/PLL频率合成器,同时对DDS/PLL频率合成器的输出特性进行了理论分析,并给出了实验结果。  相似文献   

15.
提出一种基于直接频率合成技术(DDS)的锁相环(PLL)频率合成器,该合成器利用DDS输出与PLL反馈回路中的压控振荡器(VCO)输出混频,替代多环锁相频率合成器中的低频率子环,使合成器输出频率在89.6~110.4 MHz之间分辨率达1 Hz,并保持DDS相噪、杂散水平不变。结合DDS的快速频率切换和PLL环路跟踪能力,实现信号的快速跳频。本文给出了技术方案,讨论部分电路设计,并对主要技术指标进行理论分析,最后给出了实验结果。  相似文献   

16.
直接数字频率合成器的优化技术研究   总被引:2,自引:0,他引:2  
郑利文 《现代电子技术》2010,33(18):143-144,159
详细阐述了利用QuartusⅡ实现直接数字频率合成器(DDS)的方法和步骤。分析了DDS的设计原理,采用多级流水线控制技术对DDS相位累加器进行了优化,利用存储对称波形方法对波形存储表进行了优化,并在开发环境下进行了功能仿真,选用现场可编程器件FPGA作为目标器件,得到了可以重构的IP核,实现了复杂的调频功能。利用该方法实现的DDS模块具有更广泛的实际意义和更良好的实用性。  相似文献   

17.
蒋智辰 《电子科技》2014,27(3):70-72,76
基于直接数字频率合成技术DDS的原理,分析了影响DDS频率输出的核心因素。在此基础上仿真验证了相位累加器的位数对DDS频率输出的作用。介绍了一种DDS芯片AD9852并基于这种芯片提出了一种雷达回波模拟器的设计,并分析了DDS芯片的优缺点。该设计能够稳定地产生70 MHz载频的雷达回波,较好地模拟出所需回波。  相似文献   

18.
基于DDS的有源相控阵天线   总被引:7,自引:0,他引:7  
有源相控阵天线不仅能提高通信系统的性能,而且还能扩充其功能,所以在通信领域的应用越来越广泛.本文介绍一种没有高频移相器的8单元有源相控阵天线系统,它由平面天线阵、数字T/R组件、接收DBF和系统控制分析软件等组成.其基本原理是在发射模式下,利用直接数字合成(DDS)代替传统的高频移相器和衰减器.由于DDS的工作频率比较低,需要通过上变频到系统所需要的工作频率(2.0GHz).在发射模式下,通过控制DDS完成发射波束形成所必需的幅度、相位加权和上变频所必需的本振信号;在接收模式下,则利用DDS技术产生接收信号下变频所必需的本振信号,然后采用DBF技术形成接收波束.文中详细介绍了基于DDS的有源相控阵天线的实现方法和实验结果.通过8单元基于DDS的有源相控阵天线系统的研究,证实了DDS技术在相控阵天线中应用的显著优点和相控阵天线在通信领域具有潜在应用市场.  相似文献   

19.
施华虎  张灵迪 《电子科技》2011,24(11):36-38
介绍了直接数字频率合成(DDS)的结构和原理,并将DDS技术应用于短波射频通信频率源中。实现了一种基于单片机+DDS可编程低噪声频率源,输出信号范围46.5~75 MHz。实验结果表明,该频率源具有频率分辨率高、相位噪声低等优点,满足短波射频通信系统对频率源的设计要求。  相似文献   

20.
直接数字频率合成技术,即DDS,是一种新型的频率合成技术和信号产生方法。其电路系统具有较高的频率分辨率,可以实现快速的频率切换,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数控调整。针对目前市场上的DDS在使用中存在的一些问题,介绍了一种利用Altera公司的低成本FPGA“飓风”系列(EP1C6)实现DDS的具体方法。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号