首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
In this paper, a practical force model for the deburring process is first presented. It will be shown that the force model is more general than Kazerooni's model and it is suitable for both upcut and down-cut grinding. In terms of this force model, an algorithm of burr detection by using a 2D vision image is proposed. In the burr detection algorithm, the relevant data of burrs, such as frequency, cross-section area, and height are simplified so that they are functions of the burr contour only. Then, a fast tracking method of the burr contour (BCTM) is developed to obtain the contour data. Experiments show that the BCTM of this passive (i.e. without lighting) image system can be as fast as 18.2 Hz and its precision is 0.02 mm, so online burr detection and control by using the vision sensor is feasible.Nomenclature A burr cross-section area of the burr - A chamfer cross-section area of the chamfer - A n proportional factor - A work cross section area in the contact zone while deburringA work=A burr+A chamfer - w cutting width - w root thickness of the root of the burr - a depth of cut - a root burr heighta root=a(w root) - C 1 static cutting edge density - D equivalent wheel diameter - d s wheel diameter - d w workpiece diameterD=d w d s/(d w±d s)D=d s andd w for the deburring process - F h horizontal grinding force - F v vertical grinding force - F n normal grinding force - F t tangential grinding force - F n(K) normal grinding force of the Kazerooni's model - F t(K) tangential grinding force of the Kazerooni's model - F o threshold thrust force - f burr burr frequency - f n normal grinding force per active grain - f t tangential grinding force per active grain - f r first resonant frequency of the robot - f tool resonant frequency of the end-effector at the normal direction - exponential constant for describing the edge distribution = [(1 +n) + (1 –n)]/2 = (1 +n)/2 for = 0 [21] - K proportional factor of the force model of the grinding processK =A n 1–n / - K 0 specific contact force per contact length - K 1 specific chip formation force per contact length - V s wheel speed - V w workpiece speed - w metal-removal parameter - K 2 specific metal-removal parameter per wheel speedK 2 = w/V s - K c specific chip formation force per area - K f specific friction force per area - k constant for the parabolic burr - k 1,k 2,k 3,k 4 constants for the circular burr - L contact width between the wheel and the workpieceL is equal to the chamfer's hypotenuse length, orL=w root when there is no chamfer - l contact length - l k contact length between the wheel and the workpiece - m exponential constant for describing the edge shape 0m1m=1 for the deburring process [21] - N dyn number of engaged cutting edges per wheel surface - n exponential constant for describing the cutting process 0n1n=1 for the pure chip formation process andn=0 for the pure friction process [22] - average contact pressure - p exponential constant for describing the relationship between the static cutting edge and the wheel surface depth 1p2p=1 for linear case [21] - Q magnitude of the individual chip cross-section in the contact zone - r radius of the circular burr - Z w metal-removal rate - ,, exponential constants for describing the edge distribution [21] = (pm)/(p + 1) = 0 form = 1,p = 1 =p/(p) + 1 = 1/2 forp = 1 = (1 –n) = 1n/2 for = 1/2 - actual contact area between the wheel and the workpiece - coefficient of the sliding friction - variable of the contact angle - k maximum contact angle - m mean rotating angle - t half of the tip angle of the grains - ratio of tangential chip formation force to the normal chip formation force. Usuihideji has pointed out that = /(4tant) [29]  相似文献   

2.
An inverse dynamics and kinematics of a flexible manipulator is derived in symbolic form based on the recursive Lagrangian assumed mode method. A PC-based program has implemented the algorithm to automatically generate the inverse dynamics and kinematics for an elastic robot in a symbolic form. A case study is given to illustrate how to use this program for inverse dynamic and kinematic generation. Simulation results for a case study by considering different mode shape are compared with the rigid case.Nomenclature A i joint transformation relates systemi to systemi-1 - E i link transformation relates the deflection of systemi to systemi - F i joint torque acting on jointi - g gravity vector expressed at the base coordinates - J inertia = - K kinetic energy of the system - l i length of linki - M i a mass concentrated at the joint i - m i number of modes used to describe the deflection of link i - n number of links - q h joint variable of thehth joint - q hk time-varying amplitude of mode k of link h - R vector of remaining dynamics and external forcing terms = - r i vector locating the centre of mass of linki - R j dynamics from the joint equation j, excluding second derivatives of the generalized coordinates - R if dynamics from the deflection equation jf, excluding second derivatives of the generalized coordinates - V potential energy - W i transformation from the base to theith link - transformation from the base to the systemî - z the vector of generalised coordinates = - link density  相似文献   

3.
Machining process simulation systems can be used to verify NC (numerically controlled) programs as well as to optimise the machining phase of the production. These systems contribute towards improving the reliability and efficiency of the process as well as the quality of the final product. Such systems are particularly needed by industries dealing with complex cutting operations, where the generation of NC code represents a very complex and error-prone task. A major impediment to implementing these systems is the lack of a general and accurate geometric method for extracting the required geometric information. In this paper, a novel approach to performing this task is presented. It uses a general and accurate representation of the part shape, removed material, and cutting edges, and can be used for any machining process. Solid models are used to represent the part and removed material volume. Bezier curves (in 3D space) are used to represent cutting edges. It is shown that by intersecting the removed material volume with the Bezier curves, in-cut segments of the tool cutting edges can be extracted. Using these segments, instantaneous cutting forces as well as any other process parameters can be evaluated. It is also shown that by using B-rep (Boundary representation) polyhedral models for representing solids, and cubic Bezier curves for representing cutting edges, efficient, generic procedures for geometric simulation can be implemented. The procedure is demonstrated and verified experimentally for the case of ball end-milling. A very good agreement was found between simulated cutting forces and their experimental counterparts. This proves the validity of the new approach.Notation cx 3,cx 2,cx 1,cx 0 parameters of cubic polynomialx(t) - cy 3,cy 2,cy 1,cy 0 parameters of cubic polynomialy(t) - cz 3,cz 2,cz 1,cz 0 parameters of cubic polynomialz(t) - bx i ,by i ,bz i x-,y-, andz-coordinates of ith control point, respectively - b i ith control point - R tool radius (m) - angular position of point on cutting edge measured from positivex-axis in case of flat end mill (°) - helix angle of cutting edge on flat end mill (°) - A, B, C, D parameters of the equation of a plane - td i ,tu i lower end and upper end of theith in-cut segment (before updating) - n number of in-cut segments (before updating) - td j ,tu j lower end and upper end of theith in-cut segment (after updating) - m number of in-cut segments (after updating) - dF t , dF r tangential and radial components of the infinitesimal cutting force (N) - K t ,K r empirical constants in tangential force and radial force equations (N/m2) - b thickness of axial infinitesimal element of cutting edge (m) - h instantaneous chip thickness of axial infinitesimal element of cutting edge (m) - s shear strength of workpiece (N/m2) - dA c cross-section area of undeformed chip on the infinitesimal element of cutting edge (m2) - shear angle (°) - e effective rake angle (°) - friction angle (°) - or (t) angular position of point on cutting edge of ball nose of ball end mill (rad) - u j , d j lower end and upper end ofjth in-cut segment (rad) - t parameter  相似文献   

4.
Mathematical relations are obtained and a technique of determining the requirements for the relative measurement errors 1 and 2 in signals recorded for prescribed errors in measuring the composition layer thicknesses is proposed. It is shown that the density fluctuations of materials affect the thickness measurement errors. Analytic expressions determining the optimum gamma-quantum energies E 1 and E 2 (E 1 < E 2) for arbitrary values of 1 and 2 are derived. On the basis of these expressions, it is concluded that the optimum energies are independent of the densities of the materials and their dimensions. With reference to an Al{C composition, it is shown that for the gamma-quantumenergy ranges considered (0.04–1.25 MeV), the admissible values of E 1 lie on a bounded interval 0.04–0.12 MeV, the optimum values of E 2 are related to E 1 by an approximate relation E 2opt/E 1 2.5–3.2, and the best characteristics with respect to the thickness measurement errors are reached as E 1 E 1min = 0:04 MeV.  相似文献   

5.
There are three methods in use for separating diamonds, i.e. by cleaving, by laser beam and by sawing. Sawing is one of the main methods used for this purpose. This operation is carried out on special sawing machines equipped with a sawing disk blade, 0.04–0.14 mm thick and 76 mm initial diameter. The rotational velocity (n) of the disk is between 6000 and 12 000 r.p.m. Diamond powder is embedded in the periphery of the disk. The outcome surface of a diamond after the sawing operation must be flat and smooth, Whenever such a surface is actually obtained, the polishing time and the loss in size and weight of the diamonds are reduced.In the present work, the positioning of the diamond to be sawed, with respect to an embedded particle in the disk, to create a favourable cutting angle is discussed. This would make it possible to reduce the rake angle () to near-zero, and thereby the cutting forces. Furthermore, a method to control the morphology and grain size of the diamond powder to be used in the cutting was developed.In the diamond industry, two modes of sawing operations are in practice. One uses the periphery of the disk for the sawing while the other employs a circular hole in the centre of the disk. Analysis of the two modes showed that the hole mode is more promising, as the design in that case requires tensioning of the disk and makes for better lateral stability during the sawing process. In addition the tangential and the radial stresses, developed in both sawing methods, were calculated. To support the above, data was obtained from existing literature and analysed.Nomenclature n rotational velocity of the disk, r.p.m. - rake angle, degrees - back clearance angle, degrees - cutting angle, degrees - m relative frequency - f feed - b disk radius, mm - a disk hole radius, mm - r current disk radiusb>r>a, mm - density of disk material, kg m–3 - angular velocity - Poisson ratio of disk material - g acceleration of gravity, m s–2 - r radial stress, kg cm–2 - r max highest radial stress, kg cm–2 - t tangential stress, kg cm–2 - tangential stress at outside circumference, kg cm–2 - tangential stress at inside circumference, kg cm–2  相似文献   

6.
In this paper, a precision inspection technique using CAD/CAI integration is proposed for parts having very thin and sharply curved features. The technique begins with feature reconstruction of turbine blades which have combined geometry, such as splines, and thin small radius circles. The alignment procedures consists of two phases — rough and fine phases: the rough phase alignment is based on the conventional 6 points probing on the clear cut surfaces, and the fine phase alignment is based on the initial measurement of the curved parts using the least-squares technique based on iterative measurement feedback. For the analysis of profile tolerance of parts, the actual measured points are obtained by finding the closest points on the CAD geometry by the subdivision technique developed. The Tschebyscheff norm is applied iteratively, giving an accurate profile tolerance. The inspection technique developed is applied to practical blade manufacturing, and has demonstrated good performance.Nomenclature r i (u),r j (u) 3D vector curve representing theith,jth curve segment for spline - u, parameters in [0, 1] representing curve - P i ,P i+1 ith, (i+1)th control points on the spline curve along the airfoil direction - Q j ,Q j+1 jth, (j+1)th control points on the spline curve along the vertical direction - W i ratio of chord length to the previous chord length on the spline curve - C(Cx, Cy) centre coordinate of the edge circle - o, initial angle and range angle of the edge circle - N jp ,N normal vector on the surface patch formed byP i ,P i+1,Q j ,Q j+1 control points - A 1 toA 6 6 probing points for the rough phase alignment - A 1 toA6 contact points at the clear cut surface corresponding to theA 1 toA 6 - X(a x,b x,c x),Y(a y,b y,c y),Z(a z,b z,c z) base vectors for CAD coordinate system with respect to the CCM coordinate system - O (O x,O y,O z) origin of the workpiece in the CMM coordinate system - D measurement target points of the workpiece - r probe radius - M coordinate measurement target points in the CMM coordinate system - DM direction vector of the measurement target points in the CMM coordinate system - T 1 transformation matrix of 4×4 for the rough phase alignment - T 2 transformation matrix of 4×4 for the fine phase alignment - MM, MM i measured data of the measurement target points - Lp Tschebyscheff norm of powerP  相似文献   

7.
A new approach is proposed for the on-line measurement of the maximum peak-to-valley roughness,R max, of a finished-turned surface in the feed direction. The method is based on solving the inverse problem of light scattering by using a linear least-square estimate of the angular scattered light pattern reflected from a surface. A laser system has been developed to capture the light reflected under different cutting conditions. The effects of the ambient room light as well as the workpiece's rotational speed and methods for thier compensation are also discussed. Good correlation was found between the optical and stylus-measuredR max.Nomenclature R max maximum peak-to-valley roughness within the sampling length - R q RMS surface roughness within the sampling length - R a arithmetically averaged roughness within the sampling length - z r.m.s. surface height within the sampling length - u r.m.s. slope of the surface within the sampling length - T correlation distance of the surface, defined as the distance in which the correlation coefficient,C(), equals e–1 - I(1,) intensity of reflected light - I m(1,2,) measured intensity of reflected light at instant - 1 angle of incidence of laser beam - 2 scattering angle defining a CCD pixel location (1 and 2 are measured with respect to the normal of the surface of the workpiece coincident with the centre of the laser beam) - v scattering vector of reflected light - x,z components ofv in thex andz direction, respectively - L sampling length associated with the laser spot on the surface of the workpiece - j representative location of a CCD pixel - j CCD pixel location corresponding to the mean light level - p j density function of the light intensity of thejth pixel - wavelength of laser light - nose radius of the cutting tool - ASLP angular scattered light pattern - K correction factor for the measured light intensity - S m standard deviation of the measured ASLP - S c standard deviation of the ASLP calculated from an estimatedR max - K control step size ofK - computational error, defined as =|S m–Sc|/S m - K a,Kb starting and ending point, respectively, within the search range forK - K c,Kd two points within (K a,Kb), determined by the golden section search method - V cutting speed (m/min) - f feed rate (mm/rev) - d depth of cut (mm) - H hardness of workpiece (found on Rockwell scale C) - CCD charge-coupled device  相似文献   

8.
This paper presents a stochastic model for predicting the tool failure rate in turning hardened steel with ceramic tools. This model is based on the assumption that gradual wear, chemical wear, and premature failure (i.e. chipping and breakage) are the main causes of ending the tool life. A statistical distribution is assumed for each cause of tool failure. General equations for representing tool-life distribution, reliability function, and failure rate are then derived. The assumed distributions are then verified experimentally. From the experimental results, the coefficients of these equations are determined. Further, the rate of failure is used as a characteristic signature for qualitative performance evaluation. The results obtained show that the predicted rate of ceramic tool failure is 20% (in the first few seconds of machining) and it increases with an increase in cutting speeds. These results indicate that there will always be a risk that the tool will fail at a very early stage of cutting. Such a possibility should not be overlooked when developing proper tool replacement strategies. Finally, the results also give the tool manufacturers information which can be used to modify the quality control procedures in order to broaden the use of ceramic tools.Nomenclature c constant - ch chamfer width of the tool, mm - d depth of cut, mm - h i hardness value at theith location on the workpiece during machining - h mean ofh 1,h 2,h 3, ...,h nn - n hardness mean location - m Meyer exponent determined experimentally to define the nonlinear relation between the cutting force and the ratioh i/h - f feedrate, mm rev–1 - f(t) probability density function of tool failure - f 1(t) probability density function of tool failure due to breakage caused by tool quality - f 2(t) probability density function of tool failure due to breakage caused by workpiece condition - f 3(t) probability density function of tool failure due to tool chipping caused by chemical wear - f 4(t) probability density function of tool failure due to flank wear - f 5(t) probability density function of tool failure due to crater wear - O() error - t cutting time, min - x 1,x 2,...,x n independent variables - A i instantaneous area of contact between the tool and the workpiece - C 1 chip load, which can be determined as a function of the cutting conditions and tool geometry - K I crater wear index - K T maximum depth of crater wear on tool face, mm - K M crater centre distance, mm - N number of failures - P(t) probability function of tool failure - P j(t) corresponding probability of failure, such that 1j5 - R tool nose radius, mm - R(t) reliability function - R j(t) corresponding reliability function, such that 1j5 - T V estimate of tool life for a set value of average flank wear (V B * ) - T K estimate of tool life for a set value of maximum depth of crater wear (K T * ) - V cutting speed, m/min - V B average tool wear, mm - Z(t) instantaneous failure rate or hazard function - 3 shape parameter in the Weibull probability density function - rake angle - 3 scale parameter in the Weibull probability density function, min - failure rate of the cutting tool - mean of a logarithmic normal distribution function - standard deviation of a logarithmic normal distribution function - tool wear function - time corresponding to the occurrence of tool failure - (.) standard logarithmic normal distribution function  相似文献   

9.
This paper presents computer simulation of the forging process using the finite volume method (FVM). The process of forging is highly non-linear, where both large deformations and continuously changing boundary conditions occur. In most practical cases, the initial billet shape is relatively simple, but the final shape of the end product is often geometrically complex, to the extent that it is commonly obtained using multiple forming stages.Examples of the numerical simulation of the forged pieces provided were created using Msc/SuperForge computer code. The main results of the analysis are deformed shape, temperature, pressure, effective plastic strain, effective stress and forces acting on the die.Nomenclature C material constant - M strain rate hardening exponent - N strain hardening exponent - S coefficient of the microstructure - T temperature - u i velocity component - x j Cartesian coordinates - ̄ effective strain tensor - effective strain rate - ̇ proportionality factor in flow rules - ij Cauchy stress tensor - i deviator stress tensor - ̄ effective stress tensor - y flow stress  相似文献   

10.
An electric-discharge light source, operating in the spectral range of 170–270 nm on a system of bands of Cl2 ( = 200 and 257 nm) and KrCl ( = 222 nm) molecules is described. The radiator is pumped by a low-pressure volume discharge in a spherical anode-flat cathode system of electrodes with an interelectrode distance of 6 cm, so that the plasma has no contact with the quartz envelope of the lamp. The working mixtures are P(Kr)/P(Cl2) = (40–640)/(40–280) Pa. When a dc voltage U 1 kV is applied to the discharge gap, a volume discharge exists only in a periodically pulsed mode (f = 0.1–50 kHz) and represents a source of short-wave radiation with a cylindrical working surface (1 cm in diameter and 6 cm long) and a mean radiation power of 3 W.  相似文献   

11.
An efficient approach has been developed for determining the process tolerances. This approach simplifies the traditional procedures of tolerance chart balancing and takes into account the capability of the process producing the workpiece. A mathematical model, based on the modified rooted tree chart, has been established. By using the model, the process tolerance can be obtained effectively and accurately without the effort of finding the additional tolerances. The work done previously by Ngoi is examined and several drawbacks are identified. A comparison between the results generated by the proposed method and by Ngoi is also made.Notation d i additional tolerance to be added - f j jth functional relationship betweent i andd i - m the number of blueprint specifications - n the number of operations - r i the failure rate - estimated standard deviation ofith operation - T i initial tolerance - TB j blueprint tolerance - TP i upper limit of process tolerance - i process tolerance for operationi - x i standardised process tolerance - w i weighting value - Z the minimum value amongx i   相似文献   

12.
Orthogonal cutting experiments were carried out on steel at different feedrates and cutting speeds. During these experiments the chip temperatures were measured using an infrared camera. The applied technique allows us to determine the chip temperature distribution at the free side of the chip. From this distribution the shear plane temperature at the top of the chip as well as the uniform chip temperature can be found. A finite-difference model was developed to compute the interfacial temperature between chip and tool, using the temperature distribution measured at the top of the chip.Nomenclature contact length with sticking friction behaviour [m] - c specific heat [J kg–1 K–1] - contact length with sliding friction behaviour [m] - F P feed force [N] - F V main cutting force [N] - h undeformed chip thickness [m] - h c deformed chip thickness [m] - i,j denote nodal position - k thermal conductivity [W m–2 K–1] - L chip-tool contact length [m] - p defines time—space grid, Eq. (11) [s m–2] - Q C heat rate entering chip per unit width due to friction at the rake face [W m–1] - Q T total heat rate due to friction at the rake face [W m–1] - Q % percentage of the friction energy that enters the chip - q 0 peak value ofq(x) [W m–2] - q e heat rate by radiation [W] - q(x) heat flux entering chip [W m–2] - t time [s] - T temperature [K] - T C uniform chip temperature [°C] - T max maximum chip—tool temperature [°C] - T mean mean chip—tool temperature [°C] - T S measured shear plane temperature [°C] - x,y Cartesian coordinates [m] - V cutting speed [m s–1] - V C chip speed [m/s] - rake angle - ,, control volume lumped thermal diffusivity [m2 s–1] - emmittance for radiation - exponent, Eq. (3) - density [kg m–3] - Stefan-Boltzmann constant [W m–2 K4] - (x) shear stress distribution [N m–2] - shear angle  相似文献   

13.
This paper presents the development of a generalised cutting force model for both end-milling and face-milling operations. The model specifies the interaction between workpiece and multiple cutter flutes by the convolution of cutting-edge geometry function with a train of impulses having the period equivalent to tooth spacing. Meanwhile, the effect of radial and axial depths of cut are represented by the modulation of the cutting-edge geometry function with a rectangular window function. This formulation leads to the development of an expression of end/face-milling forces in explicit terms of material properties, tool geometry, cutting parameters and process configuration. The explicitness of the resulting model provides a unique alternative to other studies in the literature commonly based on numerical integrations. The closed-form nature of the cutting force expression can facilitate the planning, optimisation, monitoring, and control of milling operations with complicated tool—work interactions. Experiments were performed over various cutting conditions and results are presented, in verification of the model fidelity, in both the angle and frequency domains.Notation * convolution operator - helix angle of an end mill - A,R axial and radial angles of a face mill - angular position of any cutting point in the cylindrical coordinate system - unit area impulse function - (i–1)(–T o) (i–1)th derivative of (–T o) with respect to - angular position of cutter in the negative Y-direction - L, lead and inclination angles of a face mill - angular position of any cutting point in the negative Y-direction - 1, 2 entry and exit angles - upper limit of cutting edge function in terms of - as defined in equation (10) - A xk ,A yk ,A zk kth harmonics of cutting forces in the X-, Y-, and Z-directions - d a,d r axial and radial depth of cut - dA instantaneous cut area - D diameter of cutter - f o frequency of spindle - f t,f r,f a local cutting forces in the tangential, radial, and axial directions - f x ,f y ,f z local cutting forces in the X-, Y-, and Z-directions - F x ,F y ,F z resultant cutting forces in the angle domain in the X-, Y-, and Z-directions - F as defined in equation (5) - h derivative of height function of cutting edge with respect to - h() height function of one cutting edge with respect to - H height of any cutting point - K r,K a radial-to-tangential and axial-to-tangential cutting force ratios - K t tangential cutting pressure constant - K as defined in equation (6) - p as defined in equation (6) - N number of cutting edges - r() radius function of one cutting edge with respect to - R radius of any cutting point - T cutting engagement time function of any cutting point - T o cutting engagement time of the cutting point at =0 - T th() tooth sequence function - t c average cut thickness - t x feed per tooth - W A,W W,W C amplitude, width and centre of a window function - W(,) unit rectangular window function - y min,y max minimum and maximum positions of workpiece in the Y-direction - Z min,Z max integration limits in the Z-direction  相似文献   

14.
Advanced engineering ceramic materials such as silicon carbides and silicon nitride have been used in many engineering applications. The abrasive waterjet is becoming the most recent cutting technique of such materials because of its inherent advantages.In the present study, two elastic-plastic erosion models are adopted to develop an abrasive waterjet model for cutting brittle materials. As a result, two cutting models based on fracture mechanics are derived and introduced. The suggested models predict the maximum depth of cut of the target material as a function of the fracture toughness and hardness as well as the process parameters.It is found that both models predict the same depth of cut within a maximum of 11%, for the practical range of process parameters used in the present study. The maximum depth of cut predicted by the suggested models are compared with published experimental results for three types of ceramics. The effect of process parameters on the maximum depth of cut for a given ceramic material is also studied and compared with experimental work. The comparison reveals that there is a good agreement between the models' predictions and experimental results, where the difference between the predicted and experimental value of the maximum depth of cut is found to be an average value of 10%.Nomenclature C abrasive efficiency factor, see equation (16) - C 1,C 2 c 1/4/3, c2/4/3 - c 1,c 2 erosion models constants, see equations (1) and (2) - d a local effective jet diameter - d j nozzle diameter - d S infinitesimal length along the kerf - f 1 ( E ) function defined by equation (7) - f 2 ( E ) function defined by equation (8) - f 3 ( e ) function defined by equation (14) - g 1 ( E ) f 1( e )/f 3 2 ( e ) - g 2 ( e ) f 2( e /f 3 2 ( e ) - H Vickers hardness of the target material - h maximum depth of cut - K c fracture toughness of target material - k kerf constant - M linear removal rate, dh/dt - m mass of a single particle - abrasive mass flow rate - water mass flow rate - P water pressure - Q total material removal rate, see equation (11) - R abrasive to water mass flow rates - r particle radius - S kerf length - u traverse speed - V material volume removal rate (erosion rate) - V idealised volume removal by an individual abrasive particle - particle impact velocity - 0 initial abrasive particle velocity - x,y kerf coordinates - local kerf angle, Fig. 1 - E jet exit angle at the bottom of the workpiece, Fig. 1 - particle density - w water density On leave from: Mechanical Engineering Department, Suez Canal University, Egypt.On leave from: Mechanical Power Engineering Department, Alexandria University, Egypt.  相似文献   

15.
Control charts are important statistical process control tools for determining whether a process is run in its intended mode or in the presence of unnatural patterns. Patterns displayed on control charts can provide information about the process. This paper describes the development of a pattern recognition system designed to detect and analyse various patterns that can occur on statistical quality control charts. The system looks not only for simple patterns, such as trend, shift and stratification, but also for superimposed patterns, such as trend + shift. The effect of noise associated with individual patterns is also analysed. The benefits of the approach compared with the alternatives are discussed.Notation N i ith value of the noise series - N T noise tolerance - x i ith data item from a number sequence - r i seed for random number simulation - adjacent difference - standard deviation - mean of the data - A slope of a straight line - B constant - C constant - i indexing integer - j indexing integer - k total number of samples - l starting point of a pattern on control chart - m ending point of a pattern on control chart - n size of samples - ptn pointer to the pattern identified - slope slope for trend patterns - X normally distributed variate arising from simulation - CL centre-line - LCL lower control limit - LOSL lower one-sigma limit - LWL lower warning limit - UCL upper control limit - UOSL upper one-sigma limit - UWL upper warning limit  相似文献   

16.
In this paper, based on the group technology and the just-in-time manufacturing concepts, two loading models for optimal utilisation of the processing capabilities of an integrated manufacturing system consisting of a set of heterogeneous workstations are developed. These loading models are developed to integrate and utilise the available information from both the bill of materials and the process plans. The objective functions for these models are: the maximum tardiness and the makespan. In these models, the production quantity of each customer order for any part or product always equals its corresponding demand quantity; each part requires a finite number of aggregated stages of operation; job splitting is allowed; and the processing priorities of all the jobs during the planning time horizon are specified based on a desirable dispatching rule. The proposed mathematical programming models are fixed charge problems which are solved by compatible mixed integer programming algorithms. Finally, to provide additional decision-making capabilities, based on these models and their corresponding solution algorithms, a compatible decision support system is suggested.Notation l(1 toL) the product index - t(1 toN) the component (e.g., job type) index - i(1 toN) the job type priority index - j(1 toM) the workstation index - r(1 toR) the processing stage priority index - k(1 toK) the due date priority index - J i,j,r,k the job with the job type priority indexi of the customer with the processing stage priority indexr and the due date priority indexk which has to be processed at the workstationj - L i,r,k the number of units of the job with the job type priority indexi of the customer with processing stage priority indexr and the due date priority indexk (e.g. demand quantities) - t i,j,r the required time to perform the processing stage priority indexr of each unit of the job with the job type priority indexi at the workstationj - D i,r,k the due date of the job with the job type priority indexi of the customer with the processing stage priority indexr and the due date priority indexk - s i,j,r the required time for setting up the workstationj for processing the job with the processing stage priority indexr, and the job type priority indexi - X i,j,r,k the number of units of the job with job type priority indexi of the customer with the processing stage priority indexr, and the due date priority indexk to be produced at the workstationj - l i,j,r,k the idle time at the workstationj prior to processing the job with the job type priority indexi and the processing stage priority indexr with the due date priority indexk - V the maximum tardiness - W the makespan of the operation - Y i,j,r,k=1 ifX i,j,r,k>0 - Y i,j,r,k=0 ifX i,j,r,k=0 - i,j,r,k a sufficiently large constant (e.g. i,j,r,kL i,r,k)  相似文献   

17.
This research attempts to develop spindle deflection error models for high-speed machining systems. A model for determining total spindle deflection at the tool-end is presented. The model incorporates spindle bearing characteristics, shifts in ball contact angles, and centrifugal force and gyroscopic moment effects at high speeds. It uses the transfer matrix method to determine the total deflections at the tool-end based upon the point contact deformations at the individual balls of an angular contact ball-bearing assembly. A simulator is also developed for simulating spindle end deflections for various spindle rotational speeds. The results of the simulation show contact angle variations and peak deflections at particular spindle rotational speeds. Important research issues are also presented.Nomenclature AF final position, inner raceway groove centre - RF initial position, inner raceway groove centre - W final position of ball centre - V initial position of ball centre - D ball diameter, mm - r o inner raceway groove radius, mm - r i inner raceway groove radius, mm - M gyroscopic moment, N-mm - FO r o/D - FI r i/D - P bearing pitch diameter, mm - K o outer race load-deflection constant, N/mm1.5 - K i inner race load-deflection constant, N/mm1.5 - CF centrifugal force, N - J mass moment of inertia, N.mm2 - l length of spindle, mm - E modulus of elasticity, N/mm2 - I moment of inertia of spindle, mm4 - Y deflection of spindle alongy-direction, mm - z deflection of spindle alongz-direction, mm - M moment at spindle end, N.mm - V shear force at spindle end, N - m spindle mass, kg - material density - o outer race contact angle - i inner race contact angle - nominal contact angle - i inner race deformation - o outer race deformation - angle between ball centre of rotation and the horizontal - mis-alignment (in degrees) of shaft assembly measured in a plane perpendicular to shaft axis (x-direction) - W1 ball and raceway angular raceway velocity ratio for outer raceway control - W2 ball orbital and angular raceway velocity ratio for rotating inner raceway and outer raceway control - circumferential ball position - raceway control parameter  相似文献   

18.
The results of the voltage–capacitance spectroscopy of interface states in metal–insulator–semiconductor (MIS) structures are critical functions of the accuracy in determining the insulator capacitance C i, which is typically no higher than a few fractions of a percent. This substantially limits the energy range of the observed spectrum of the interface states (E 0.5 eV for Si-based MIS structures) and the sensitivity to the density of the interface states at the spectrum edges (N ss 1 × 1010 cm–2 eV–1). We propose a method for minimizing these errors that is based on a sequential variation of the initial estimate C i C i 0 C ij, j = 0, 1, 2, ... and the identification of singular points in the dependences and on C ij, where are the mean arithmetic values of the voltage difference between the experimental and ideal voltage–capacitance characteristic and are the rms deviations of the voltage values taken in the high-accumulation (ac) and inversion (in) regions from values. The highest (10–4%) accuracy in determining C i is achieved in the regions of the equidistant experimental and ideal voltage–capacitance characteristic. This method, combined with the technique of s / s diagrams, ensures an extension of E to 0.9 eV at N ss 1 × 1010 cm–2 eV–1 and the possibility of determining the sign and density of the fixed charge in the gate insulator.  相似文献   

19.
The magnetic response of the YBa2Cu3O7 – x superconducting ceramics to an alternating magnetic field was studied experimentally. A magnetometer with a sensitivity level of 2 × 10–7Oe was developed on the basis of the experimental data. The ways of improving such devices are discussed.  相似文献   

20.
The interactions of surface roughness and flow rheology of couple stress fluids on thin film lubrication problems are modeled. The generalized average Reynolds equation as well as the flow factors is derived. The effects of couple stress parameters (l), the standard derivation of surface roughness ( i ), the Peklenik number ( i), and the roughness orientation angle ( i) on the flow factors ( p ij , s ij) are discussed. In results, the related Reynolds-type equations and flow factors for Newtonian fluids, power-law non-Newtonian fluids, mixtures of Newtonian and power-law non-Newtonian fluids, and couple stress fluids are tabulated.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号