首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 468 毫秒
1.
A new MBE growth method for the fabrication of a high-quality double hetero-epitaxial Si/γ-Al2O3/Si structure was recently developed. In the present work, characteristics of NMOSFETs fabricated on the Si/γ-Al2O3/Si structure were investigated, and compared with those on a Si/MgAl2O4/Si structure. A γ-Al2O3 layer was created from a MgAl2O4 layer by reaction with Si beams as follows: MgAl2O4 + Si → γ-Al2O3 + SiO ↑ + Mg ↑. The MBE growth of Si on the effectively restructured γ-Al2O3 layer was then performed at a substrate temperature of 700° C, 150° C lower than for the MBE growth of Si on a MgAl2O4/Si substrate. The electron field effect mobility and leakage current between source and drain for the NMOSFETs fabricated on Si/γ-Al2O3/Si structures were 660 cm2/V · s and 2.8 pA/μm respectively, and exhibited a higher level of performance than those on a Si/MgAl2O4/Si structure. In the Si/MgAl2O4/Si, SIMS measurements confirmed that autodoped Al and Mg atoms near the interface between the Si epi-layer and MgAl2O4/Si substrate diffused anomalously and accumulated at the surface during device fabrication processes. These autodoped Al and Mg atoms acted as ionized impurities during test operation. Suppression of autodoping from insulator layers during the MBE growth of Si was thus deemed essential to the improvement of NMOSFET characteristics. In the Si/γ-Al2O3/Si structure, autodoped atoms were scarcely detectable. It was therefore concluded that the Si/γ-Al2O3/Si structure under study was very promising for SOI device applications.  相似文献   

2.
The conventional method used for aluminum (Al) and aluminum alloy (Al + Si, Al + Si + Cu) delineation in integrated circuits is mainly by wet chemical etching. Because of its isotropic characteristic, wet chemical etching becomes inadequate for patterning Al metal lines with linewidths narrower than about 4 Μm. In this work, Al and Al alloys (Al + 2% Si; Al + 1% Si + 1% Cu) were reactively etched in SiCl4 plasma using patterned photoresist as the etch-mask. Resist patterns were generated either by conventional processing methods or by tri-level resist techniques which included hard-baking (200°C, ≤ 30 min), and two consecutive reactive ion etchings in CF4 and 02 plasmas. Masking resists prepared by the tri-level resist technique retained their integrity during exposure to a SiCl4 plasma, and significantly improved resolution and fidelity of pattern transfer from resist to underlying Al or Al alloy film. The substrate surface of the reactively etched Al + Si + Cu sample was considerably rougher than that of the Al or Al + Si sample due to the high concentration of Cu accumulated at the metal/substrate region during RIE process.  相似文献   

3.
The influence of sputtering pressure and radio-frequency (RF) bias power on the texture of Al/Ti thin films has been investigated. The Al/Ti thin films were deposited sequentially onto thermally oxidized Si wafers in a direct-current (DC) magnetron system. The RF bias was applied during Ti deposition. The texture of Al thin films was quantified by θ–2θ scans and rocking curves of x-ray diffraction (XRD). The Al thin films deposited on bias-sputtered Ti underlayers showed an epitaxial growth and strong (111) texture. The Al (111) texture improved with decreasing sputtering pressure and increasing RF-bias power. The Al/Ti texture was also enhanced when the SiO2/Si substrate surface was RF plasma cleaned prior to Ti deposition. The Al (111) texture was closely related to Ti (0002) texture. The mechanism of Ti-texture improvement by applying bias sputtering was explained based on the ion-bombardment effect.  相似文献   

4.
The property of Ta as a diffusion barrier is studied for Al/Ta/Si structure. Interfacial reactions of Al(180 nm)/Ta(130 nm)/Si and Al(180 nm)/Ta(24 nm)/Si, in the temperature range 450∼600°C for 30 min, have been investigated. In Al/Ta(130 nm)/Si system, which is Ta-excess case, Al3Ta is formed at 500°C. At 575°C, TaSi2 is formed at the interface of Ta Si. At 600°C, after Al3Ta decomposes at the interface of Al3Ta TaSi2, free Ta is bonded to TaSi2 with the supply of Si from Si substrate and free Al diffuses through TaSi2, resulting in Al spiking. In Al/Ta(24 nm)/Si system, which is Al-excess case, Al3Ta is formed at 500°C. At the same temperature of 500°C, after Al3Ta decomposes at the interface of Al3Ta/Si, free Ta reacts with Si to form TaSi2 and free Al diffuses to Si substrate, resulting in Al spiking. The results of interfacial reactions can be understood from the calculated Al-Si-Ta ternary phase diagram. It can be concluded that the reaction at Al/Ta should be suppressed to improve the performance of Ta diffusion barrier in Al/Si system.  相似文献   

5.
Semiconducting diamond-like carbon (DLC) films were deposited on n-type Si substrates by the electrodeposition method, and diamond films were formed on the carbon film and/or a Si substrate directly by the hot-filament chemical vapor deposition method. Rectifying heterostructures of Al/DLC/Si/Al, Al/diamond/Si/ Al, and Al/diamond/DLC/Si/Al were fabricated. The Al/DLC/Si/Al structure has a bulk resistivity of 6 × 105 Ω-cm and a rectification ratio close to two orders of magnitude. Experimental results demonstrate that the Al/diamond/DLC/Si/Al bilayer structure can significantly reduce the leakage current to about 10-10 A and increase the rectification ratio by 3-5 orders of magnitude at 5 V, compared with that of the other two structures. Possible reasons are given for the changes of the rectifying characteristic.  相似文献   

6.
The results of X-ray structural investigations and current-voltage measurements of the HfO2/Si(100) structures are presented. The HfO2 films of 50 nm thickness were deposited in a Si substrate by high-frequency magnetron sputtering in argon plasma and subjected to rapid thermal annealing at 500, 700, and/or 800°C in the Ar or O2 ambient. It is shown that the HfO2 films become polycrystalline after annealing. The presence of various crystalline phases in them and the form of the I–V characteristics of the Al/HfO2/Si(100) test structures strongly depend on the growth conditions and the gas ambient during the rapid thermal annealing. It is established that the HfO2 films deposited at a high-frequency bias at a substrate of −7 V during the growth and then passed through rapid thermal treatment in the O2 ambient at 700°C have the highest breakdown voltages.  相似文献   

7.
We have successfully deposited epitaxial titanium nitride films on (001) silicon and (001) gallium arsenide substrates and multilayer Si/TiN/Si(001) epitaxial heterostructures using pulsed laser (KrF: λ = 248 nm, τ = 25 ns) physical vapor deposition. The deposition of TiN was carried out at a substrate temperature of 600°C on Si(001) and 400°C on GaAs(00l). The interfaces were sharp without any indication of interfacial reaction. The epitaxial relationships were found to be <001> TiN ‖<001> Si on the silicon substrate, <001> Si ‖<001> TiN |<001> Si on the heterostructure, and [1-10] TiN‖[110] GaAs and [001] TiN ‖[110] GaAs on the GaAs substrate. The growth in these large-mismatch systems is modeled and the various energy terms contributing to the growth of these films are determined. The domain matching epitaxy provides a mechanism of epitaxial growth in systems with large lattice mismatch.The epitaxial growth is characterized by domain epitaxial orientation relationships with m lattice constants of epilayer matching with n of the substrate and with a small residual domain mismatch present in the epilayer. This residual mismatch is responsible for a coherent strain energy. The magnitude of compression of Ti-N bond in the first atomic layer, contributing to the chemical free energy of the interface during the initial stages of growth, is found to be a very important factor in determining the orientation relationship. This result was used to explain the differences in the orientaion relationships between TiN/Si and TiN/GaAs systems. The various energy terms associated with the domain epitaxial growth are evaluated to illustrate that the domain epitaxial growth is energetically favorable compared to the lattice mismatched epitaxial growth. The results of this analysis illustrate that the observed variations in the epitaxial growth are consistent with the minimum energy configurations associated with the domain epitaxial growth.  相似文献   

8.
Si:Er layers in diode structures were doped with Al, Ga, or B during growth by sublimation molecular-beam epitaxy. As a result, a sharp increase in the electroluminescence intensity at a wavelength of 1.5 μm was observed in diodes with thick bases (as large as 0.8 μm).  相似文献   

9.
The defect engineering in metalorganic vapor phase epitaxy InxGa1-xAs and InP by controlled oxygen doping using diethyl aluminum ethoxide (DEALO) was developed in this study. DEALO doping has led to the incorporation of Al and O, and the compensation of shallow Si donors in InxGa1−xAs: Si with 0 ≤ x ≤ 0.25. With the same DEALO mole fraction during growth, the incorporation of Al and O was found to be independent of x, but the compensation of Si donors decreases with increasing In content. Deep level transient spectroscopy analysis on a series of InxGa1-xAs: Si. samples with 0 ≤ x ≤ 0.18 revealed that oxygen incorporation led to a set of deep levels, similar to those found in DEALO doped GaAs. As the In composition was increased, one or more of these deep levels became resonant with the conduction band and led to a high electron concentration in oxygen doped In0.53Ga0.47As. Low temperature photoluminescence emission measurements at 12K on the same set of samples revealed the quenching of the near-band edge peak, and the appearance of new oxygen-induced emission features. DEALO doping in InP has also led to the incorporation of Al and O, and the compensation of Si donors due to oxygen-induced multiple deep levels.  相似文献   

10.
Silicon doped epitaxial layers of InP have been prepared by low pressure metalorganic chemical vapour deposition, using disilane as the source of silicon. Trimethylindium and phosphine were used as the source reactants for the growth. The doping characteristics for the epitaxial growth were investigated at substrate temperatures in the range 525–750° C and for doping levels in the range 4 × 1016−2 × 1019 cm−3. The results indicated that the Si doping level is proportional to the disilane flow rate. The Si incorporation rate increases with temperature, but becomes temperature-independent forT > 620° C. Comparison between Si concentrations determined by Secondary Ion Mass Spectroscopy, donor levels determined by Hall effect measurements, and optical measurements at 7 K indicates that approximately 50% of the Si in the InP is in the form of electrically inactive species. Uniform doping over 5 cm wafer dimensions has been obtained for growth atT = 625° C.  相似文献   

11.
Al,Al/C and Al/Si implantations in 6H-SiC   总被引:1,自引:0,他引:1  
Multiple-energy Al implantations were performed with and without C or Si coimplantations into 6H-SiC epitaxial layers and bulk substrates at 850°C. The C and Si co-implantations were used as an attempt to improve Al acceptor activation in SiC. The implanted material was annealed at 1500, 1600, and 1650°C for 45 min. The Al implants are thermally stable at all annealing temperatures and Rutherford backscattering via channeling spectra indicated good lattice quality in the annealed Al-implanted material. A net hole concentration of 8 × 1018 cm−3 was measured at room temperature in the layers implanted with Al and annealed at 1600°C. The C or Si co-implantations did not yield improvement in Al acceptor activation. The co-implants resulted in a relatively poor crystal quality due to more lattice damage compared to Al implantation alone. The out-diffusion of Al at the surface is more for 5Si co-implantation compared to Al implant alone, where 5Si means a Si/Al dose ratio of 5.  相似文献   

12.
MBE growth and device processing of MWIR HgCdTe on large area Si substrates   总被引:3,自引:0,他引:3  
The traditional substrate of choice for HgCdTe material growth has been lattice matched bulk CdZnTe material. However, as larger array sizes are required for future devices, it is evident that current size limitations of bulk substrates will become an issue and therefore large area Si substrates will become a requirement for HgCdTe growth in order to maintain the cost-efficiency of future systems. As a result, traditional substrate mounting methods that use chemical compounds to adhere the substrate to the substrate holder may pose significant technical challenges to the growth and fabrication of HgCdTe on large area Si substrates. For these reasons, non-contact (indium-free) substrate mounting was used to grow mid-wave infrared (MWIR) HgCdTe material on 3″ CdTe/Si substrates. In order to maintain a constant tepilayer temperature during HgCdTe nucleation, reflection high-energy electron diffraction (RHEED) was implemented to develop a substrate temperature ramping profile for HgCdTe nucleation. The layers were characterized ex-situ using Fourier transform infrared (FTIR) and etch pit density measurements to determine structural characteristics. Dislocation densities typically measured in the 9 106 cm−2 to 1 107 cm−2 range and showed a strong correlation between ramping profile and Cd composition, indicating the uniqueness of the ramping profiles. Hall and photoconductive decay measurements were used to characterize the electrical properties of the layers. Additionally, both single element and 32 32 photovoltaic devices were fabricated from these layers. A RA value of 1.8 106-cm2 measured at −40 mV was obtained for MWIR material, which is comparable to HgCdTe grown on bulk CdZnTe substrates.  相似文献   

13.
For the first time, focused ion beam milling, secondary electron microscopy, and transmission electron microscopy were used to examine in depth morphological defects during epitaxial growth of CdTe and CdSeTe on Si. Contrary to the literature regarding the formation of morphological defects at the epi/substrate interface, the present defects appear to originate from either the CdTe/CdSeTe interface or 3–4 μm above the CdTe/Si interface where the growth was interrupted and the substrate temperature was temporarily raised. This suggests a correlation between defect nucleation and either shutter movement or growth interruption.  相似文献   

14.
GaAs selective epitaxial growth by conventional molecular beam epitaxy (MBE) was studied while varying its growth conditions, such as substrate temperature. As pressure, growth rate, and Si or Be doping. Selectivity is improved with the increase in substrate temperature, and with the decrease in As pressure or growth rate. Si and Be were doped up to 3 x 1018 and 3 × 1019 cm−3, respectively. While no Si doping influence was observed, Be doping degraded the surface morphology. Selective epitaxial growth by conventional MBE with appropriate growth conditions will be applicable to device fabrication.  相似文献   

15.
We report the effect of steam oxidation at 875° C on the electrical resistivity, crystalline quality (measured by ion channeling), and Al concentration (measured by secondary ion mass spectrometry) in 0.25 μm thick, Si-implanted and recrystallized, Si-on-sapphire films. After a deep Si implantation (180 keV, 1.4×l015 Si/cm2) at room temperature, and solid-phase epitaxial regrowth from the non-amorphized, 0.03 μm thick surface region, the initially undoped SOS films become doped p-type, and their resistivity decreases from (1−5)xl014 ficm to 0.5 Ωcm. The doping is due to electrically active Al, released from the A12O3 by the Si implantation, and present in the recrystallized films at a concentration of ≃2×l016 Al/cm3 . After a 75 min steam oxidation at 875 °C, which consumes 0.06 Μm of Si, the resistivity of the recrystallized films increases to over 40 Ωcm, but the Al concentration is unchanged. The oxidation also uncovers higher quality material below the non-recrystallized surface layer. A semi-quantitative model is proposed to explain the electrical data, based on the diffusion of oxygen from the Si/SiO2 interface into the SOS film during oxidation, and the formation of Al-O-Si neutral complexes. Data on the stability of the high-resistivity films against high-temperature annealing or re-amorphization and annealing is given.  相似文献   

16.
The X-ray diffraction and infrared spectroscopy data for MOCVD-hydride Al x Ga1 − x As:Si/GaAs(100) heterostructures and homoepitaxial GaAs:Si/GaAs(100) structures doped with Si to a content of up to ∼1 at % are reported. It is shown that, in the homoepitaxial heterostructures, the formation of alloys with Si yields a decrease in the crystal lattice parameters of the epitaxial layer and a negative lattice mismatch with the single-crystal substrate (Δa < 0). At the same time, the formation of quaternary alloys in the Al x Ga1 − x As:Si/GaAs(100) heterostructures is not accompanied by any pronounced strains in the crystal lattice. By introducing Si into the epitaxial layers of these heterostructures, it is possible to attain complete matching of crystal lattice parameters of the film and substrate in the appropriately chosen technological conditions of growth of the epitaxial layers.  相似文献   

17.
Fabrication characteristics of hybrid thin film components are investigated. Lead zirconate titanate (PZT) films, thickness 10 μm, are fabricated by using laser ablation on the Ag electrode (about 1 μm thick) which is deposited on 200 μm Si substrates by evaporation. Composition close to the target material is obtained in PZT films even in air and without substrate heating. Low surface energy in the Ag−Si system causes spheroidization of the Ag layer on the fresh Si substrate, but the surface can be modified by grinding and oxidization. Only some cavities exist at the interface. The interface between the Ag electrode and PZT layer is physically continuous, as revealed by electron microscopy. After annealing at 750°C for 2 h, the PZT layer consists of the rhombohedral perovskite phase with a fraction of the pyrochlore phase. Detrimental interdiffusion between Pb and Si occurs during annealing if the PZT thin film is directly on the Si substrate. This is retarded by the presence of the Ag layer.  相似文献   

18.
This paper focuses on growth of 4H−SiC epitaxial layers using the hot-wall CVD technique. The relation between the growth regime like total flow, system pressure, C/Si ratio and growth temperature and the characteristics of nominally undoped epilayers, such as thickness uniformity and background doping concentration have been investigated. The epitaxial layers were investigated by optical microscopy, capacitance-voltage measurements, x-ray rocking curve maps, electron channelling patterns and secondary ion mass spectroscopy. Layers up to 40 μm in thickness with a variation of about ±4% and with residual n-type doping levels in the low 1014 cm−3 ranges have been obtained on Si faces wafers. SIMS measurements have shown that the impurity concentration of acceptors like B and Al is below 2×1014 cm−3.  相似文献   

19.
A1N thin films were reactively deposited onto Al layers on negatively biased glass and Si substrates at temperatures <80°C by coherent magnetron sputtering. The low temperature deposition of the films without substrate heating was achieved by increasing the target-to-substrate distance, and therefore the heating effect of the plasma is relieved. The microstructure and morphology of the films deposited at different bias voltage and target-to-substrate distance were investigated. The films are amorphous when the target is far from the substrate for a bias voltage up to −320V. When the target-to-substrate distance is decreased to 17 cm a preferred (002) orientation of AIN films is observed at a bias voltage of −240 V. Additionally, the deposited films have specular reflectance and no voids can be observed. This low temperature technique can be used for applications in acoustic wave devices due to the improved homogeneity of the films and step coverage.  相似文献   

20.
The growth of InP by low-pressure metalorganic chemical vapor deposition on vicinal Si(111), misoriented 3° toward [1-10], is reported. Antiphase domain-free InP is obtained without any preannealing of the Si substrate. Crystallographic, optical, and electrical properties of the layers are significantly improved as compared to the best reported InP grown on Si(001). The high structural perfection is demonstrated by a full width at half maximum (FWHM) of 121 arcs for the (111) Bragg reflex of InP (thickness = 3.4 μm) as obtained by double crystal x-ray diffraction. The low-temperature photoluminescence (PL) efficiency is 70% of that of homoepitaxially grown InP layers. The FWHM of the near-gap PL peak is only 2.7 meV as compared to 4.5 meV of the best material grown on Si(001). For the first time, InP:Fe layers with semi-insulating characteristics (ρ > 3 × 107 Ω-cm) have been grown by compensating the low residual background doping using ferrocene. Semi-insulating layers are prerequisite for any device application at ultrahigh frequencies.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号