首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 476 毫秒
1.
针对基于PON结构的FC_AE航空总线协议芯片的验证需求与功能特性,提出了一种基于RTL级组网功能仿真验证的方法,阐述该方法下仿真验证平台测试用例模块、参考模型模块、结果检测器模块、验证平台整合模块的实现原理,最后,采用该方法搭建了FC_AE协议芯片的仿真验证环境,完成该芯片的仿真验证.该方法基于多种语言自动化验证平台,可从系统级与芯片级双通道验证芯片功能,有效的提高了验证效率,缩短了整个设计验证周期,为芯片的成功投片提供了可靠的保证.  相似文献   

2.
本文介绍了基于UVM验证方法学对CPU模块中的ALU运算单元模块的验证平台设计。该验证平台能够对ALU运算单元两级流水系统模块进行完整的功能验证,并且具有可控的复位验证,能够合理控制验证平台的激励输出和覆盖率收集。该验证平台具有良好的复用性和延展性,当CPU模块设计加以补充,更加完善的同时,也可以在验证平台上进行设计的扩充延展,大大提高了验证仿真的效率。  相似文献   

3.
介绍了一种基于UVM验证方法学的SoC模块级验证平台的构建方法.该平台针对基于AMBA总线的AES硬件加速器IP的功能验证需求,采用面向对象的层次化建模方法,完成可重用AMBA通用验证化组件,参考模型以及验证事务级建模的随机化高功能覆盖率测试向量的可重用工作.该平台面向基于AMBA总线的SoC模块级验证领域实现可重用性.验证结果表明,基于随机化验证策略的验证平台在功能覆盖率收敛效率上提高了21.4%.  相似文献   

4.
针对传统大规模数模混合SoC后仿真验证过慢的问题,提出了一种数模混合SoC系统级后仿真验证平台。该平台充分利用主流EDA工具,在传统Verilog-cdl后仿真验证平台的基础上,将原本网表中耗时长的模块用Verilog模型替换,使用Verilog-cdl-Verilog仿真方法,明显加快了仿真速度。从验证环境搭建、系统脚本设计、仿真接口设计三个方面详述了仿真平台的设计流程,并通过指令集功能的仿真实现,证明了平台的可行性和可靠性。该验证平台有助于缩短大规模数模混合SoC的开发周期。  相似文献   

5.
HINOC是一种新型同轴电缆宽带接入技术.HINOC系统的媒质接入控制层核心模块HIMAC的功能验证是整个HINOC 2.0 SOC芯片验证工作的重要组成部分.围绕HIMAC模块的功能验证这一目标,基于通用验证方法学(UniversalVerification Methodology,UVM)机制设计实现了HIMAC模块的功能验证平台,阐述了该平台的结构和实现原理,并利用该平台实现了对HIMAC模块组帧拆帧功能的验证.采用UVM设计的验证平台可重用性强、自动化程度高、层次清晰,有效提高了验证效率,为HIMAC的全面验证和HINOC芯片设计打下了良好基础.  相似文献   

6.
段承超  徐金甫 《现代电子技术》2011,34(8):127-129,132
传统的验证平台编写复杂,且难以在不同设计之间重用。采用SystemVerilog支持的VMM验证方法学,并结合带约束的随机验证和覆盖率驱动的验证技术,构建可重用验证平台,完成对UART模块的验证。与直接测试方法相比,该验证平台不仅能够有效提高验证效率,而且在模块级和系统级验证过程中,能够重用该验证平台或验证组件。  相似文献   

7.
现在系统级芯片(SoC)系统集成度和复杂度不断提高,验证环节消耗时间占用了芯片研发时间的70%,芯片验证已经成为芯片研发中最关键的环节.目前业界验证方法大多有覆盖率低和通用性差等缺点,基于上述原因提出了一种新的验证方法.与传统验证方法和单纯的通用验证方法学(UVM)不同,该方法结合系统级芯片验证和模块级验证的特点,并且融合UVM和知识产权验证核(VIP)模块验证的验证技术,且使用了SoC系统功能仿真模型以提高验证覆盖率和准确性.验证结果表明,同一架构系列SoC芯片可以移植于该验证平台中,并且可大幅缩短平台维护与开发时间,采用该验证方法的代码覆盖率为98.9%,功能覆盖率为100%.  相似文献   

8.
本文给出了基于Altera DSP Builder平台下VGA接口的系统级设计方法。该接口模块可利用NiosⅡ进行灵活地控制和配置。文中在CycloneⅡDSP平台上实现了该设计,并验证了设计的有效性。  相似文献   

9.
介绍了一种基于SystemC的可重构专用处理器核周期精确建模.该模型采用模块化设计,基于SystemC事务级建模,将运算功能和通信功能分开,模块之间的通信通过函数调用来实现.通过该模型,为可重构专用处理器核提供一种仿真验证平台,与传统RTL验证方法相比,大大提高了可重构专用处理器核的仿真验证效率.  相似文献   

10.
孟维佳  杨军 《电子器件》2005,28(1):200-203
在SOC设计中,传统功能验证方法面临诸多挑战,主要体现在:①复杂验证场景难以构建。②边缘情况难以覆盖。基于受限随机矢量生成的功能验证方法在满足约束条件的前提下,随机产生验证矢量.有效解决了传统验证方法面临的挑战。本文以一款SOC的存储子系统控制模块为例,研究了在Specman验证平台上,使用E语言构建验证环境的基于受限随机矢量生成的功能验证在SOC设计中的应用。验证结果表明,复杂验证场景和边缘情况的覆盖率均达到100%。经过多目标圆片(MPW)流片试验和测试,采用该方法验证的模块达到设计要求。  相似文献   

11.
基于eRM建立自动化的验证平台   总被引:1,自引:0,他引:1  
为了缩短SoC项目开发中前端验证的时间,实现自动化的可重用性验证环境平台,采用了eRM验证方法学,通过Sequence,BFM,Monitor,Scoreboard,Coverage等验证组件来实现此验证平台,并给出了基于此平台的一个应用实例,极大地提高了验证的效率和功能覆盖率。  相似文献   

12.
随着集成电路设计的复杂度越来越高,系统验证的难度也在不断地提高.为了能更有效地完成验证工作,需要采用先进的验证方法来构建高性能验证平台.本文介绍的项目中,采用了多种先进验证技术,使用RVM分层结构,混合验证语言,集成多种验证IP,构建了一个存储系统的高效验证平台,探索了复杂系统验证平台设计与搭建之路.  相似文献   

13.
分析了基于System Verilog语言的UVM(Universal Verification Methodology)高级验证方法学,并使用该方法学对AES(Advanced Encryption Standard)模块进行了功能验证.验证结果表明,此验证平台能够实时监测覆盖率,控制验证进程,优化验证事务.该方法提高了验证的效率验和证平台的可重用性,较好地满足了芯片验证需要.  相似文献   

14.
倪伟  王笑天 《微电子学》2017,47(3):392-395, 411
在研究SDIO接口协议的基础上,采用以功能覆盖率驱动的验证方法和UVM验证方法学,构建了一个完整的SDIO IP验证平台。在自测试仿真实验中,通过各种测试用例,最终实现了功能覆盖率的收敛。仿真结果表明,该平台可以用于验证复杂的SDIO接口协议,且具有可复用性,可用于SoC系统的验证,缩短验证流程。  相似文献   

15.
结合断言与覆盖率为导向的验证方法   总被引:5,自引:2,他引:3  
伴随着半导体工艺的不断发展,可以将更多的功能集成到单系统芯片上.这对传统的验证方法和验证途径提出了种种挑战.以覆盖率为导向的验证方法中,覆盖率模型是在外部通过DUT执行的功能来统计覆盖率,很难侦测到DUT内部的工作状态,存在对功能"遗漏点"的侦测.基于断言的验证方法可以将断言加入到DUT内部,通过断言覆盖加强覆盖率检测.阐述了将断言和覆盖率为导向相结合的验证方法,并用此种方法对USB2.0系统进行了验证.讨论了如何将两种验证方法有效地结合,并且通过比较覆盖率为导向的验证方法与结合断言与覆盖率为导向的验证方法的结果,说明结合断言与覆盖率为导向的验证方法提高了验证过程中的观测性,减少了验证周期.  相似文献   

16.
通用串行总线USB是当前主流的计算机外设接口的总线标准。设计实现USB各功能模块的IP核对于SoC领域发展具有重要的现实意义。本文介绍了主机控制器端的串行接口引擎IP的设计、电路的功能仿真、综合以及验证等过程,提出并讨论了基于中科SoC开发平台的软/硬件协同设计验证的IP设计方法。结果表明该IP在功能和时序上符合USB技术规范1.1版本。达到了预定目标。  相似文献   

17.
针对高速外设部件互连(Peripheral Component Interconnect Express,PCIe)总线控制器数据格式复杂、链路状态繁多的特点,提出了基于System Verilog语言的通用验证方法学(Universal Verification Methodology,UVM)验证平台。相较于传统定向验证方法,该验证平台中的验证用例使用受约束的随机方式对PCIe模块进行充分验证,能自动进行结果比对,并在回归测试中自动收集覆盖率数据。结果表明,该验证平台可以快速定位设计缺陷,在兼顾较好的可重用性和可配置性的同时,实现覆盖率验证目标,大大提高验证效率。  相似文献   

18.
刘凯  李平  廖永波 《微电子学》2007,37(5):624-627
讨论并介绍了基于事务的验证方法和SCE-MI标准,提出了一种基于SCE-MI标准的层次化事务级SoC协同仿效平台架构,并给出了该平台的具体实现方案;给出了一个基于该平台搭建的SoC验证环境实例及部分相关实验结果。  相似文献   

19.
随着SystemVerilog成为IEEE的P1800规范,越来越多的项目开始采用基于SystemVerilog的验证方法学来获得更多的重用扩展性、更全面的功能覆盖率,以及更合理的层次化验证结构。本文主要提出了一种基于SystemVerilog的VMM验证方法学的验证环境。在这个验证环境中,验证了一个8位的MCU,这个MCU主要应用在数据卡项目中,主要特点是时钟周期与指令周期相等,并且相对于标准MUC指令需要时钟周期较少。通常验证MCU都会应用以前的16进制代码读入ROM中,通过仿真观察波形以及输出来确认功能正确,每次只能根据实际应用程序测试对应的一部分MCU功能,缺少一个量化的指标,而且每次改动MCU,需要重新检查结果,效率比较低,而且验证质量无法保证。这里实现了用SystemVerilog来搭建一个基于VMM验证方法学的可移植、重用、扩展、完全自动检查、具有层次化结构的MCU验证平台。这里运用了VMM方法学,设计了一个层次化的验证结构,可以较简单地移植并验证其他类型的MCU,抽象了MCU指令,并且通过约束产生随机指令激励,可以实现遍历所有指令以及地址,另外功能覆盖率模型帮助能够收集并监测覆盖率。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号