首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The predicted quasiparticle energy gap of more than 1 eV in sub‐6 nm graphene nanoribbons (GNRs) is elusive, as it is strongly suppressed by the substrate dielectric screening. The number of techniques that can produce suspended high‐quality and electrically contacted GNRs is small. The helium ion beam milling technique is capable of achieving sub‐5 nm patterning; however, the functional device fabrication and the electrical characteristics are not yet reported. Here, the electrical transport measurement of suspended ≈6 nm wide mono‐ and bilayer GNR functional devices is reported, which are obtained through sub‐nanometer resolution helium ion beam milling with controlled total helium ion budget. The transport gap opening of 0.16–0.8 eV is observed at room temperature. The measured transport gap of the different edge orientated GNRs is in good agreement with first‐principles simulation results. The enhanced electron–electron interaction and reduced dielectric screening in the suspended quasi‐1D GNRs and anti‐ferromagnetic coupling between opposite edges in the zigzag GNRs substantiate the observed large transport gap.  相似文献   

2.
Nanolithography using high transmission nanoscale bowtie apertures   总被引:1,自引:0,他引:1  
Wang L  Uppuluri SM  Jin EX  Xu X 《Nano letters》2006,6(3):361-364
We demonstrate that bowtie apertures can be used for contact lithography to achieve nanometer scale resolution. The bowtie apertures with a 30 nm gap size are fabricated in aluminum thin films coated on quartz substrates. Lithography results show that holes of sub-50-nm dimensions can be produced in photoresist by illuminating the apertures with a 355 nm laser beam polarized in the direction across the gap. Experimental results show enhanced transmission and light concentration of bowtie apertures compared to square and rectangular apertures of the same opening area. Finite different time domain simulations are used to explain the experimental results.  相似文献   

3.
We report a proximity-effect correction in electron beam patterning when fabricating a spin valve device with a junction size of 100 nm × 100 nm. Since the spin valve device has a stack of magnetic/non-magnetic/magnetic metal multi-layers on oxidized Si substrate, its proximity effect should be appropriately corrected to realize a nano-scale junction. ZEP 520A was chosen as an electron beam resist because its dry-etching resistance is high enough to serve as an etching mask in the post-process. A set of proximity parameters, α, β, and η of ZEP 520A coated metal multi-layers was evaluated by using the doughnut pattern method. A simulation was carried out based on given proximity parameters in order to obtain effective dose factors of each segment of the exposure pattern. The junction with a desired shape and size on a metal multi-layer was successfully fabricated with a help of efficient proximity-effect correction.  相似文献   

4.
Using infrared spectroscopy of plasmonic resonances and mapping of elemental composition and structure, we investigated the correlation between optical and structural properties of nanometre-scale gaps in gold nanorod dimers fabricated by electron beam lithography (EBL) and focused ion beam (FIB) milling. In spite of their very similar scanning electron microscopy (SEM) images, a fully cut nanogap and a shallower cut with slight imperfection near the gap region were clearly distinguished by their strongly different infrared plasmonic resonance behaviour. The differences in the infrared spectra are related to different structural and chemical results from elaborated cross-sectional transmission electron micrographs and energy dispersive x-ray spectrometry (EDX) mapping of the gap region.  相似文献   

5.
Two approaches for sub-100 nm patterning are applied to Si/SiGe samples.The first one combines electron beam lithography (EBL) and anisotropic wet etching to fabricate wires with triangular section whose top width is narrower than the beam size. Widths as small as 20 nm on silicon and 60 nm on Si/SiGe heterostructures are obtained.The second lithographic approach is based on the local anodization of an aluminum film induced by an atomic force scanning probe. Using atomic force microscopy (AFM) anodization and selective wet etching, aluminum and aluminum oxide nanostructures are obtained and used as masks for reactive ion etching (RIE). Sub-100 nm wide wires are fabricated on Si/SiGe substrates.  相似文献   

6.
An integrated magnetic nanosensor based on a niobium dc SQUID (superconducting quantum interference device) for nanoscale applications is presented. The sensor, having a washer shape with a hole of 200?nm and two Josephson-Dayem nanobridges of 80?nm × 100?nm, consists of a Nb(30?nm)/Al(30?nm) bilayer patterned by electron beam lithography (EBL) and shaped by lift-off and reactive ion etch (RIE) processes. The presence of the niobium coils, integrated on-chip and tightly coupled to the SQUID, allows us to easily excite the sensor in order to get the voltage-flux characteristics and to flux bias the SQUID at its optimal point. The measurements were performed at liquid helium temperature. A voltage swing of 75?μV and a maximum voltage-flux transfer coefficient (responsivity) as high as 1?mV/Φ(0) were directly measured from the voltage-flux characteristic. The noise measurements were performed in open loop mode, biasing the SQUID with a dc magnetic flux at its maximum responsivity point and using direct-coupled low-noise readout electronics. A white magnetic flux noise spectral density as low as 2.5?μΦ(0)?Hz(-1/2) was achieved, corresponding to a magnetization or spin sensitivity in units of the Bohr magneton of 100?spin?Hz(-1/2). Possible applications of this nanosensor can be envisaged in magnetic detection of nanoparticles and small clusters of atoms and molecules, in the measurement of nanoobject magnetization, and in quantum computing.  相似文献   

7.
We report on a novel method to fabricate carbon nanotube (CNT) nanoelectronic devices on silicon nitride membrane grids that are compatible with high resolution transmission electron microscopy (HRTEM). Resist-based electron beam lithography is used to fabricate electrodes on 50 nm thin silicon nitride membranes and focused-ion-beam milling is used to cut out a 200 nm gap across a gold electrode to produce the viewing window for HRTEM. Spin-coating and AC electrophoresis are used as methods to deposit small bundles of carbon nanotubes across the electrodes. We demonstrate the viability of this approach by performing both electrical measurements and HRTEM imaging of solution-processed CNTs in a device.  相似文献   

8.
Lee JA  Lee KC  Park SI  Lee SS 《Nanotechnology》2008,19(21):215302
We present a facile, yet versatile carbon nanofabrication method using electron beam lithography and resist pyrolysis. Various resist nanopatterns were fabricated using a negative electron beam resist, SAL-601, and they were then subjected to heat treatment in an inert atmosphere to obtain carbon nanopatterns. Suspended carbon nanostructures were fabricated by the wet-etching of an underlying sacrificial oxide layer. Free-standing carbon nanostructures, which contain 130?nm wide, 15?nm thick, and 4?μm long nanobridges, were fabricated by resist pyrolysis and nanomachining processes. Electron beam exposure dose effects on resist thickness and pattern widening were studied. The thickness of the carbon nanostructures was thinned down by etching with oxygen plasma. An electrical biosensor utilizing carbon nanostructures as a conducting channel was studied. Conductance modulations of the carbon device due to streptavidin-biotin binding and pH variations were observed.  相似文献   

9.
We demonstrate wide-area fabrication of sub-40?nm diameter, 1.5?μm tall, high aspect ratio silicon pillar arrays with straight sidewalls by combining nanoimprint lithography (NIL) and deep reactive ion etching (DRIE). Imprint molds were used to pre-pattern nanopillar positions precisely on a 200?nm square lattice with long range order. The conventional DRIE etching process was modified and optimized with reduced cycle times and gas flows to achieve vertical sidewalls; with such techniques the pillar sidewall roughness can be reduced below 8?nm (peak-to-peak). In some cases, sub-50?nm diameter pillars, 3?μm tall, were fabricated to achieve aspect ratios greater than 60:1.  相似文献   

10.
The sensitivity of microwave kinetic inductance detectors (MKIDs) based on coplanar waveguides (CPWs) needs to be improved by at least an order of magnitude to satisfy the requirements for space-based terahertz astronomy. Our aim is to investigate if this can be achieved by reducing the width of the CPW to much below what has typically been made using optical lithography (>1?μm). CPW resonators with a central line width as narrow as 300?nm were made in NbTiN using electron beam lithography and reactive ion etching. In a systematic study of quarter-wave CPW resonators with varying widths it is shown that the behavior of responsivity, noise and power handling as a function of width continues down to 300?nm. This encourages the development of narrow KIDs using Al in order to improve their sensitivity.  相似文献   

11.
Fresnel zone plates (FZPs) for soft X-ray microscopy with an energy range of 284 eV to 540 eV are designed and fabricated in a simple method. An adequate aspect ratio of the resist mold for electroplating was obtained by the proximity effect correction technology for an incident electron beam on a single thick layer resist. Without additional complicated reactive ion etching, a sufficient electro plating mold for nickel structures was fabricated. The overall fabrication procedures which involve a mix-and-match overlay technique for electron beam lithography and an optic exposure system that centers the membrane on the nanostructures, and hybrid silicon etching technology in junction with deep anisotropy and a KOH wet method in order to release the backside Si substrates of the Si3N4 membranes with no deformation of FZPs are introduced. High quality nanostructures with minimum outermost zone widths of 50 nm and diameters of 120 microm were fabricated with simplified fabrication process and with cost-effective.  相似文献   

12.
The use of nanoscale channel MOSFETs as a candidate for future nonvolatile memory is extensively investigated. The device consists of a wire channel MOSFET with nanometer dimensions on which Si nanocrystals (Si-NCs) are deposited. The memory characteristics as a function of the channel widths for different channel lengths are presented. The channel length dimensions are defined between 100-1000 nm by electron beam lithography and the width dimensions are reduced from a few tens of nanometers down to sub-5 nm by wet etching and thermal oxidation processes. It is found that the controllability of the fabrication process is enhanced as the channel length is reduced to 100 nm. Moreover, memory performances are improved with decreasing channel width due to the bottleneck effect. These results show that the Si-NCs memory is highly scalable in terms of the channel size. In the narrowest channel devices, i.e., in the sub-5-nm range, coulomb-blockade oscillations are obtained due to the ultra-small regions formed in the channel. In such devices, a strong enhancement of the retention characteristics has been found as a result of the quantum mechanical narrow channel effect in the ultra-narrow channel.  相似文献   

13.
Altun AO  Jeong JH  Rha JJ  Kim KD  Lee ES 《Nanotechnology》2007,18(46):465302
Cubic boron nitride (c-BN) is one of the hardest known materials (second after diamond). It has a high level of chemical resistance and high UV transmittance. In this study, a stamp for ultra-violet nanoimprint lithography (UV-NIL) was fabricated using a bi-layered BN film deposited on a quartz substrate. Deposition of the BN was done using RF magnetron sputtering. A hexagonal boron nitride (h-BN) layer was deposited for 30?min before c-BN was deposited for 30?min. The thickness of the film was measured as 160?nm. The phase of the c-BN layer was investigated using Fourier transform infrared (FTIR) spectrometry, and it was found that the c-BN layer has a 40% cubic phase. The deposited film was patterned using focused ion beam (FIB) lithography for use as a UV-NIL stamp. Line patterns were fabricated with the line width and line distance set at 150 and 150?nm, respectively. The patterning process was performed by applying different currents to observe the effect of the current value on the pattern profile. The fabricated patterns were investigated using AFM, and it was found that the pattern fabricated by applying a current value of 50?picoamperes (pA) has a better profile with a 65?nm line depth. The UV transmittance of the 160?nm thick film was measured to be 70-86%. The hardness and modulus of the BN was measured to be 12 and 150?GPa, respectively. The water contact angle of the stamp surface was measured at 75°. The stamp was applied to UV-NIL without coating with an anti-adhesion layer. Successful imprinting was proved via scanning electron microscope (SEM) images of the imprinted resin.  相似文献   

14.
In this paper the electron-beam lithography conditions and the nanofabrication process are described for current-perpendicular-to-plane (CPP) pillar devices with 30 nm critical dimensions. This work combines a RAITH-150 tool with a negative e-beam resist (AR-7520) so that dense nanopillar arrays are patterned fast into large area samples. The resist dilution and coating conditions are optimized, aiming at its thickness reduction down to 80 nm. The exposure parameters are tuned for different geometries and dimensions, so that features down to 30 nm are exposed with good accuracy (+/- 1.9 nm) and reproducibility. The complete integration of these nanoelements into CPP devices involved electron beam lithography, ion milling for pattern transfer and chemical-mechanical polishing (CMP). Results on devices incorporating very low resistance-area (R x A) MTJ films deposited by Ion beam assisted deposition are shown, for MTJ stacks with R x A down to 0.8 omega x microm2. Device characterization includes electrical measurement of the pillar resistance and the transfer curves under dc magnetic fields (TMR up to 40%).  相似文献   

15.
Nanopatterned polymer brushes with sub-50-nm resolution were prepared by a combination of electron-beam chemical lithography (EBCL) of self-assembled monolayers (SAMs) and surface-initiated photopolymerization (SIPP). As a further development of our previous work, selective EBCL was performed with a highly focused electron beam and not via a mask, to region-selectively convert a SAM of 4'-nitro-1,1'-biphenyl-4-thiol to defined areas of crosslinked 4'-amino-1,1'-biphenyl-4-thiol. These "written" structures were then used to prepare surface-bonded, asymmetric, azo initiator sites of 4'-azomethylmalonodinitrile-1,1'-biphenyl-4-thiol. In the presence of bulk styrene, SIPP amplified the primary structures of line widths from 500 to 10 nm to polystyrene structures of line widths 530 nm down to approximately 45 nm at a brush height of 10 or 7 nm, respectively, as measured by scanning electron microscopy and atomic force microscopy (AFM). The relative position of individual structures was within a tolerance of a few nanometers, as verified by AFM. At line-to-line spacings down to 50-70 nm, individual polymer brush structures are still observable. Below this threshold, neighboring structures merge due to chain overlap.  相似文献   

16.
A novel strategy for fabricating nanoimprint templates with sub-10 nm patterns is demonstrated by combining electron beam lithography and atomic layer deposition. Nanostructures are replicated by step-and-repeat nanoimprint lithography and successfully transferred into functional material with high fidelity. The process extends the capacity of step-and-repeat nanoimprint lithography as a single digit nanofabrication method. Using the ALD process for feature shrinkage, we identify a size dependent deposition rate.  相似文献   

17.
Joo J  Chow BY  Jacobson JM 《Nano letters》2006,6(9):2021-2025
This Letter describes a method to generate nanometer scale patterns on insulating substrates and wide band gap materials using critical energy electron beam lithography. By operating at the critical energy (E2) where a charge balance between incoming and outgoing electrons leaves the surface neutral, charge-induced pattern distortions typically seen in e-beam lithography on insulators were practically eliminated. This removes the need for conductive dissipation layers or differentially pumped e-beam columns with sophisticated gas delivery systems to control charging effects. Using a "scan square" method to find the critical energy, sub-100 nm features in 65 nm thick poly(methyl methacrylate) on glass were achieved at area doses as low as 10 microC/cm2 at E2 = 1.3 keV. This method has potential applications in high-density biochips, flexible electronics, and optoelectronics and may improve the fidelity of low voltage e-beam lithography for parallel microcolumn arrays.  相似文献   

18.
Wang C  Morton KJ  Fu Z  Li WD  Chou SY 《Nanotechnology》2011,22(44):445301
Nano-graphene ribbons are promising in many electronic applications, as their bandgaps can be opened by reducing the widths, e.g. below 20 nm. However, a high-throughput method to pattern large-area nano-graphene features is still not available. Here we report a fabrication method of sub-20 nm ribbons on graphite stamps by nanoimprint lithography and a transfer-printing of the graphene ribbons to a Si wafer using electrostatic force assisted bonding. These methods provide a path for fast and high-throughput nano-graphene device production.  相似文献   

19.
Menard LD  Ramsey JM 《Nano letters》2011,11(2):512-517
The use of focused ion beam (FIB) milling to fabricate nanochannels with critical dimensions extending below 5 nm is described. FIB milled lines have narrowing widths as they are milled deeper into a substrate. This focusing characteristic is coupled with a two-layered architecture consisting of a relatively thick (>100 nm) metal film deposited onto a substrate. A channel is milled through the metal layer until it penetrates a prescribed depth into the substrate material. The metal is then removed, leaving a nanochannel with smooth surfaces and lateral dimensions as small as sub-5 nm. These open nanochannels can be sealed with a cover plate and the resulting devices are well-suited for single-molecule DNA transport studies. This methodology is used with quartz, single-crystal silicon, and polydimethylsiloxane substrates to demonstrate its general utility.  相似文献   

20.
Engineered gold nanostructured arrays with feature separation in the sub-10-nm range were fabricated and used for highly sensitive surface enhanced Raman scattering (SERS) detection of biomarkers. Nanostructuring is achieved by combining electron beam lithography with the so-called shadow evaporation technique which involves a two-step Au vapor deposition through a suspended Ge mask while the substrate is tilted in opposite directions. This results in a regular triangular surface pattern with extremely small gap distances that allow positive enhancement of the local electric fields by enabling improvements in the electromagnetic coupling between adjacent nanoparticles. The resulting SERS active surfaces are suitable for the realization of reference procedures for quantifying marker molecules like urea or creatinine at physiologically relevant concentrations.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号