首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
The SiNx films with the thickness of 50 nm were prepared by Cat-CVD method on the cyclic olefin copolymer (COC) and the polyethylene terephthalate (PET) substrates, and their moisture barrier abilities were evaluated. MOCON measurement method and Ca degradation test showed the moisture permeation results of 0.02 g/(m2 day) for PET substrate and 0.006 g/(m2 day) for COC substrate after SiNx deposition. Applying the simple model of gas barrier property, it was estimated that the Cat-CVD method achieves the high coverage ratio of over 99% for SiNx film on these substrates, and the moisture permeation rate of single SiNx film with the thickness of 50 nm was estimated to be 0.0045 g/(m2 day).  相似文献   

2.
We have found that SiN passivation by catalytic chemical vapor deposition (Cat-CVD) can significantly increase an electron density of an AlGaN/GaN heterostructure field-effect transistor (HFET). This effect enables thin-barrier HFET structures to have a high-density two-dimensional electron gas and leads to suppression of short-channel effects. We fabricated 30-nm-gate Al0.4Ga0.6N(8 nm)/GaN HFETs using Cat-CVD SiN. The maximum drain current density and extrinsic transconductance were 1.49 A/mm and 402 mS/mm, respectively. Current-gain cutoff frequency and maximum oscillation frequency of the HFETs were 181 and 186 GHz, respectively. These high-frequency device characteristics are sufficiently high enough for millimeter-wave applications.  相似文献   

3.
We investigate the characteristics of amorphous silicon thin film transistors (a-Si TFTs) fabricated by plasma-enhanced chemical vapor deposition (PECVD) and catalytic CVD (Cat-CVD), and their stability under bias and temperature (BT) accelerated stress. The Cat-CVD a-Si TFTs have off-leak current as small as 10− 14 A, and a smaller threshold voltage shift under the BT stress. The superiority in off-leak current and stability is observed in the Cat-CVD a-Si TFTs fabricated at both 320 °C and 180 °C. The high performance and stability of the Cat-CVD a-Si TFTs will enable to use low-cost glass substrates and result in a cost reduction of TFT fabrication.  相似文献   

4.
We demonstrate the excellent performance of a 140 W AlGaN/GaN HEMT in the C-band, which is passivated by a Cat-CVD SiN film. The interface trap density of the AlGaN surface passivated by Cat-CVD film after NH3 treatment is 3 × 1012 cm− 2, which is the smallest of investigated deposition techniques. The lowest interface trap density achieved by the Cat-CVD technique makes it possible to operate the AlGaN/GaN HEMT in the C-band. We clarify that the Cat-CVD technique is necessary for developing future amplifiers.  相似文献   

5.
Amorphous Si (a-Si) films with lower hydrogen contents show better adhesion to glass during flash lamp annealing (FLA). The 2.0 µm-thick a-Si films deposited by plasma-enhanced chemical vapor deposition (PECVD), containing 10% hydrogen, start to peel off even at a lamp irradiance lower than that required for crystallization, whereas a-Si films deposited by catalytic CVD (Cat-CVD) partially adhere even after crystallization. Dehydrogenated Cat-CVD a-Si films show much better adhesion to glass, and are converted to polycrystalline Si (poly-Si) without serious peeling, but are accompanied by the generation of crack-like structures. These facts demonstrate the superiority of as-deposited Cat-CVD a-Si films as a precursor material for micrometer-thick poly-Si formed by FLA.  相似文献   

6.
The technology of Hot Wire Chemical Vapor Deposition (HWCVD) or Catalytic Chemical Vapor Deposition (Cat-CVD) has made great progress during the last couple of years. This review discusses examples of significant progress. Specifically, silicon nitride deposition by HWCVD (HW-SiNx) is highlighted, as well as thin film silicon single junction and multijunction junction solar cells. The application of HW-SiNx at a deposition rate of 3 nm/s to polycrystalline Si wafer solar cells has led to cells with 15.7% efficiency and preliminary tests of our transparent and dense material obtained at record high deposition rates of 7.3 nm/s yielded 14.9% efficiency. We also present recent progress on Hot-Wire deposited thin film solar cells. The cell efficiency reached for (nanocrystalline) nc-Si:H n-i-p solar cells on textured Ag/ZnO presently is 8.6%. Such cells, used in triple junction cells together with Hot-Wire deposited proto-Si:H and plasma-deposited SiGe:H, have reached 10.9% efficiency. Further, in our research on utilizing the HWCVD technology for roll-to-roll production of flexible thin film solar cells we recently achieved experimental laboratory scale tandem modules with HWCVD active layers with initial efficiencies of 7.4% at an aperture area of 25 cm2.  相似文献   

7.
We present recent progress on hot-wire deposited thin film solar cells and applications of silicon nitride. The cell efficiency reached for μc-Si:H n-i-p solar cells on textured Ag/ZnO presently is 8.5%, in line with the state-of-the-art level for μc-Si:H n-i-p's for any method of deposition. Such cells, used in triple junction cells together with hot-wire deposited proto-Si:H and plasma-deposited SiGe:H, have reached 10.5% efficiency. The single junction μc-Si:H n-i-p cell is entirely stable under prolonged light soaking. The triple junction cell, including protocrystalline i-layers, is within 3% stable, due to the limited thicknesses of the two top cells. The application of SiNx:H at a deposition rate of 3 nm/s to polycrystalline Si wafer solar cells has led to cells with 15.7% efficiency. We have also achieved record high deposition rates of 7.3 nm/s for transparent and dense SiNx;H. Hot-wire SiNx:H is likely to be the first large commercial application of the Hot Wire CVD (Cat-CVD) technology.  相似文献   

8.
Efforts of industrial application of Cat-CVD technology are surveyed. Recent movement of industrial implementation is also reviewed by showing examples in Japanese industry. Cat-CVD technology is originally developed as a new tool for fabricating semiconductor devices, however, recently, use of the technology is attempted in various fields such as chemical, mechanical and bio-technical engineering. It is shown that Cat-CVD has high feasibility as a fundamental technology of modern industries.  相似文献   

9.
A Roll-to-roll type catalytic chemical vapor deposition (Cat-CVD) apparatus was developed for the application to flexible organic light-emitting diode (OLED) displays and packaging. Silicon nitride (SiNx) films were prepared by this roll-to-roll type apparatus at temperatures below 60 °C. It was found that these SiNx films are highly moisture resistant, and the water vapor transmission rate (WVTR) on plastic substrates could be lowered to 0.01 g/m2 day. Roll-to-roll type Cat-CVD is one of the most promising methods for the preparation of barrier films for OLED displays and packaging.  相似文献   

10.
Recent progress in application of Cat-CVD (Hot Wire CVD) technology is overviewed, along with recent status of industrial implementation of this technology. Although the use of Cat-CVD technology in factories has not been open to the public, the technology appears to fit for the fabrication of ultra-high frequency devices of compound semiconductors, compound semiconductor lasers, solar cells, and formation of coating films for other devices. The issues for practical use of this technology are also discussed, together with promising future of this Cat-CVD technology.  相似文献   

11.
This paper reports the feasibility for industrial implementation of Cat-CVD (Hot-Wire CVD) technology. Some application examples are shown, and their features and problems are described. Cat-CVD is a unique technology which has a lot of possibilities. Some of them will be commercialized in the near future, but the others need a breakthrough for mass production.  相似文献   

12.
In this paper, bulk-Si metal–oxide–semiconductor field effect transistors (MOSFETs) are fabricated using the catalytic chemical vapor deposition (Cat-CVD) method as an alternative technology to the conventional high-temperature thermal chemical vapor deposition. Particularly, formation of low-resistivity phosphorus (P)-doped poly-Si films is attempted by using Cat-CVD-deposited amorphous silicon (a-Si) films and successive rapid thermal annealing (RTA) of them. Even after RTA processes, neither peeling nor bubbling are observed, since hydrogen contents in Cat-CVD a-Si films can be as low as 1.1%. Both the crystallization and low resistivity of 0.004 Ω·cm are realized by RTA at 1000 °C for only 5 s. It is also revealed that Cat-CVD SiNx films prepared at 250 °C show excellent oxidation resistance, when the thickness of films is larger than approximately 10 nm for wet O2 oxidation at 1100 °C. It is found that the thickness required to stop oxygen penetration is equivalent to that for thermal CVD SiNx prepared at 750 °C. Finally, complementary MOSFETs (CMOSs) of single-crystalline Si were fabricated by using Cat-CVD poly-Si for gate electrodes and SiNx films for masks of local oxidation of silicon (LOCOS). At 3.3 V operation, less than 1.0 pA μm−1 of OFF leakage current and ON/OFF ratio of 107–108 are realized, i.e. the devices can operate similarly to conventional thermal CVD process.  相似文献   

13.
Advantage of plasma-less deposition in catalytic chemical vapor deposition (Cat-CVD) is demonstrated in performance of amorphous-silicon (a-Si) thin-film transistors (TFTs), by comparing with a-Si TFTs fabricated by plasma-enhanced CVD (PECVD). Cat-CVD a-Si TFTs show 2 or 3 orders of magnitude lower off-current than PECVD ones. Exposure of Cat-CVD TFTs to an argon or a hydrogen plasma severely increases their off-current, while the off-current recovers by chemically etching the plasma-damaged surface layer. It is concluded that PECVD damages the a-Si surface to a depth of several tens of nm, whereas Cat-CVD induces no serious damage to the film surface and therefore induces no deterioration of electrical properties.  相似文献   

14.
Coverage properties of silicon nitride film prepared by the Cat-CVD method   总被引:2,自引:0,他引:2  
The coverage properties of silicon nitride (Si3N4) films prepared by the catalytic chemical vapor deposition (Cat-CVD) technique were systematically studied. By increasing the catalyzer–substrate distance, the coverage was improved from 46 to 67% on a 1.0-μm line and space pattern. The etching rate of Cat-CVD Si3N4 film measured using 16BHF solution was independent of the deposited position of the micro-patterns deposited, and was approximately 3 nm/min, one order of magnitude lower than that of plasma-enhanced CVD (PE-CVD) Si3N4 film. This means that Cat-CVD Si3N4 films are denser than PE-CVD Si3N4 films, and that the quality at the side wall is equivalent to that on the top surface. That is, Cat-CVD Si3N4 films show a passivation effect, which was excellent, even at the side wall of micro-patterns. These results suggest that Si3N4 films prepared by Cat-CVD are suitable for the passivation films in microelectronic devices having a step configuration, such as TFT-LCDs and ULSIs.  相似文献   

15.
Super-hydrophobic poly-tetrafluoroethylene (PTFE) films, with a water contact angle of over 160°, are formed by catalytic chemical vapor deposition (Cat-CVD) under high catalyzer temperature or pressure. Hydrophobicity of the PTFE films is maintained even after annealing up to 300 °C. We demonstrate a novel method for forming metal lines using super-hydrophobic PTFE films. Water-based functional liquid containing silver nanoparticles dropped on the patterned PTFE film localizes only on hydrophilic regions, resulting in formation of metal lines after annealing up to 150 °C.  相似文献   

16.
Development of Cat-CVD apparatus for 1-m-size large-area deposition   总被引:1,自引:0,他引:1  
Thin film deposition on large-area substrates of 1-m size is demonstrated by catalytic chemical vapor deposition (Cat-CVD) apparatus equipped with a newly developed showerhead catalyzer unit. The arrangement of catalyzer wires for uniform film thickness was determined by simulation, assuming that decomposed species on catalyzers were transported by isotropic thermal diffusion without an influence of the gas flow. A film thickness uniformity of ±7.5% was successfully achieved on a substrate of 400 mm×960 mm at an average deposition rate of 32 nm/min for hydrogenated amorphous silicon (a-Si:H) film. Film thickness uniformity of ±8.6% for a-Si:H film and ±12.3% for silicon nitride film were also successfully obtained on substrates of 680 mm×880 mm size at an average deposition rate of 12.1 and 2.5 nm/min, respectively. These results suggest that Cat-CVD is a promising method for the fabrication of large-area devices such as thin-film-transistor liquid-crystal displays and solar cells.  相似文献   

17.
Crystallization by excimer-laser annealing (ELA) for hydrogenated amorphous silicon (a-Si:H) films with low hydrogen content (CH) prepared by catalytic chemical vapor deposition (Cat-CVD) was systematically studied. From optical microscopy images, no hydrogen bubbling was observed during ELA, even without a dehydrogenation process. As the laser energy density was increased to 300 mJ cm−2, the full width at half-maximum of the Raman signal from the crystalline phase decreased to approximately 4 cm−1. This value is almost equal to or even smaller than that reported for polycrystalline Si (poly-Si) films prepared from plasma-enhanced CVD (PECVD) a-Si:H films by ELA so far. The average grain size, estimated from scanning electron microscopy, was approximately 500 nm for CH of 1.3 at.%. On the other hand, the grain size of poly-Si films prepared from PECVD a-Si:H films with a dehydrogenation process was only 200 nm. The technique using Cat-CVD films is expected to be used for fabrication of low-temperature high-mobility thin-film transistors.  相似文献   

18.
Polycrystalline silicon (poly-Si) films thicker than 1.5 μm, consisting of dense small grains called nano-grain poly-Si (ngp-Si), are formed by flash lamp annealing (FLA) of amorphous silicon (a-Si) films prepared by catalytic chemical vapor deposition (Cat-CVD) method. Crystallinity of the ngp-Si films can be controlled by changing lamp irradiance. Secondary ion mass spectroscopy (SIMS) profiles of dopants in the ngp-Si films after FLA shows no serious diffusion. A minority carrier lifetime of over 5 μs is observed from these ngp-Si films after defect termination process using high pressure water vapor annealing (HPWVA), showing possibility of application for high-efficient thin film solar cells.  相似文献   

19.
Low temperature analysis of diffusion and intermixing of Co-Si systems are very important in applications for microelectronics and Ultra Large Scale Integration (ULSI). In this communication a comprehensive report has been given on degradation and diffusion processes in the Si(substrate)/Co(150 nm)/Ta(10 nm) system. The samples were prepared by DC magnetron sputtering and were annealed in argon ambient at several temperatures ranging from 400 to 623 K for various times. The composition of the samples was investigated by Secondary Neutral Mass Spectrometry (SNMS). The degradation/intermixing starts with fast (grain boundary (GB)) diffusion of the Si into the Co layer. After some incubation time Si atoms appear and spread over the Co/Ta interface. This amount of Si accumulated at the Co/Ta interface acts as a reservoir for back-diffusion into the Co layer from the Co/Ta interface through the slower grain boundaries. At higher temperatures the formation of a Co-Si phase was detected at the Co/Si and Co/Ta interface. Three different diffusion coefficients were calculated from the SNMS concentration-depth profiles using “Central-gradient” (CG) and “First-appearance” methods. The observed intermixing was interpreted as a mixture of different “C-type” grain boundary diffusion processes. Furthermore, the experimental results are also compared with computer simulations modelling the grain-boundary diffusion through different grain-boundary paths. From the SNMS profiles measured at different temperatures the activation energy of the GB interdiffusion coefficients was deduced using the “CG method”.  相似文献   

20.
We review the recent progress of Cat-CVD research in Japan since the 1st Cat-CVD conference in Kanazawa in 2000. Some groups, including ours, succeeded in realizing large-area deposition of amorphous silicon (a-Si) of approximately 1 m size, and thin film transistors (TFTs) with a mobility over several 10s of cm2 V−1 s−1 are fabricated using Cat-CVD polycrystalline silicon (poly-Si) films. Extensive studies of in situ cleaning methods revealed that a high rate of chamber cleaning is possible in Cat-CVD systems. Solar cell research is now carried out within the New Energy and Industrial Technology Development Organization (NEDO) project, and the study of Cat-CVD Si3N4 films prepared at lower than 100 °C is now a Japan Science and Technology Corporation (JST) project to use them as coatings on organic devices. The feasibility of Cat-CVD for various applications has been widely demonstrated, along with further understanding of the fundamental mechanism of the Cat-CVD process.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号