首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 952 毫秒
1.
A Ge-stabilized tetragonal ZrO2 (t-ZrO2) film with permittivity (κ) of 36.2 was formed by depositing a ZrO2/Ge/ZrO2 laminate and a subsequent annealing at 600 °C, which is a more reliable approach to control the incorporated amount of Ge in ZrO2. On Si substrates, with thin SiON as an interfacial layer, the SiON/t-ZrO2 gate stack with equivalent oxide thickness (EOT) of 1.75 nm shows tiny amount of hysteresis and negligible frequency dispersion in capacitance-voltage (C-V) characteristics. By passivating leaky channels derived from grain boundaries with NH3 plasma, good leakage current of 4.8 × 10−8 A/cm2 at Vg = Vfb − 1 V is achieved and desirable reliability confirmed by positive bias temperature instability (PBTI) test is also obtained.  相似文献   

2.
ZrO2 thin films were deposited by the atomic layer deposition process on Si substrates using tetrakis(N,N′-dimethylacetamidinate) zirconium (Zr-AMD) as a Zr precursor and H2O as an oxidizing agent. Tetrakis (ethylmethylamino) zirconium (TEMA-Zr) was also evaluated for a comparative study. Physical properties of ALD-derived ZrO2 thin films were studied using ellipsometry, grazing incidence XRD (GI-XRD), high resolution TEM (HRTEM), and atomic force microscopy (AFM). The ZrO2 deposited using Zr-AMD showed a better thermal stability at high substrate temperature (>300 °C) compared to that using TEMA-Zr. GI-XRD analysis reveals that after 700 °C anneal both ZrO2 films enter tetragonal phase. The electrical properties of N2-annealed ZrO2 film using Zr-AMD exhibit an EOT of 1.2 nm with leakage current density as low as 2 × 10−3 A/cm2 (@Vfb−1 V). The new Zr amidinate is a promising ALD precursor for high-k dielectric applications.  相似文献   

3.
Metal–insulator–metal (MIM) transparent capacitors were prepared by pulsed laser deposition (PLD) on glass substrates. The effect of the thickness of the dielectric layer and oxygen pressure on structural, electrical, and optical properties of these capacitors was investigated. Experimental results show that film thickness and oxygen pressure have no effect on the structural properties. It is also found that the optical properties of the HfO2 thin films depend strongly on both the film thickness and oxygen pressure. The electrical properties of transparent capacitors were investigated at various thickness of the dielectric layer. The capacitor shows an overall high performance, such as a high dielectric constant of 28 and a low leakage current of 2.03×10−6 A/cm2 at ±5 V. Transmittance above 70% was observed in visible region.  相似文献   

4.
High-κ dielectrics are promising candidates to increase capacitor integration densities but their properties depend on manufacturing process and frequency because relaxation and resonance mechanisms occur. Complementary characterization protocols are needed to analyze high-κ insulator behaviour from DC to microwave frequencies. The extraction of Plasma Enhanced Atomic Layer Deposition HfO2 and ZrO2 complex permittivity was performed up to 5 GHz using dedicated test vehicles allowing an in situ characterization as a function of dielectric thickness. The measurement procedure was thus validated, highlighting the potentiality of these two dielectrics to cover a wide range of frequencies.  相似文献   

5.
This work deals with high-density integrated capacitors for output filters in future micro DC–DC converters. To reach high capacitance density, 3D structures were created in silicon with DRIE followed by MOCVD of ZrO2 (100 nm thick). The step coverage revealed two deposition regimes: a surface reaction controlled regime for cavities aspect ratio lower than 2 and a diffusion-controlled regime for higher aspect ratios. The ZrO2 films present mostly a cubic/tetragonal structure. The permittivity extracted from the measurement is 26.4. These results are discussed with static dielectric responses calculated in literature.  相似文献   

6.
Al2O3, HfO2, and composite HfO2/Al2O3 films were deposited on n-type GaN using atomic layer deposition (ALD). The interfacial layer of GaON and HfON was observed between HfO2 and GaN, whereas the absence of an interfacial layer at Al2O3/GaN was confirmed using X-ray photoelectron spectroscopy and transmission electron microscopy. The dielectric constants of Al2O3, HfO2, and composite HfO2/Al2O3 calculated from the C-V measurement are 9, 16.5, and 13.8, respectively. The Al2O3 employed as a template in the composite structure has suppressed the interfacial layer formation during the subsequent ALD-HfO2 and effectively reduced the gate leakage current. While the dielectric constant of the composite HfO2/Al2O3 film is lower than that of HfO2, the composite structure provides sharp oxide/GaN interface without interfacial layer, leading to better electrical properties.  相似文献   

7.
Tantalum pentoxide (Ta2O5) deposited by pulsed DC magnetron sputtering technique as the gate dielectric for 4H-SiC based metal-insulator-semiconductor (MIS) structure has been investigated. A rectifying current-voltage characteristic was observed, with the injection of current occurred when a positive DC bias was applied to the gate electrode with respect to the n type 4H-SiC substrate. This undesirable behavior is attributed to the relatively small band gap of Ta2O5 of around 4.3 eV, resulting in a small band offset between the 4H-SiC and Ta2O5. To overcome this problem, a thin thermal silicon oxide layer was introduced between Ta2O5 and 4H-SiC. This has substantially reduced the leakage current through the MIS structure. Further improvement was obtained by annealing the Ta2O5 at 900 °C in oxygen. The annealing has also reduced the effective charge in the dielectric film, as deduced from high frequency C-V measurements of the Ta2O5/SiO2/4H-SiC capacitors.  相似文献   

8.
AlGaN/GaN metal-oxide-semiconductor heterostructure field-effect transistors (MOSHFETs) with Al2O3 gate oxide which was deposited by atomic layer deposition (ALD) were fabricated and their performance was then compared with that of AlGaN/GaN MOSHFETs with HfO2 gate oxide. The capacitance (C)-voltage (V) curve of the Al2O3/GaN MOS diodes showed a lower hysteresis and lower interface state density than the C-V curve of the HfO2/GaN diodes, indicating better quality of the Al2O3/GaN interface. The saturation of drain current in the ID-VGS relation of the Al2O3 AlGaN/GaN MOSHFETs was not as pronounced as that of the HfO2 AlGaN/GaN MOSHFETs. The gate leakage current of the Al2O3 MOSHFET was five to eight orders of magnitude smaller than that of the HfO2 MOSHFETs.  相似文献   

9.
The structural and electrical characteristics of a novel nanolaminate Al2O3/ZrO2/Al2O3 high-k gate stack together with the interfacial layer (IL) formed on SiGe-on-insulator (SGOI) substrate have been investigated. A clear layered Al2O3 (2.5 nm)/ZrO2 (4.5 nm)/Al2O3 (2.5 nm) structure and an IL (2.5 nm) are observed by high-resolution transmission electron microscopy. X-ray photoelectron spectroscopy measurements indicate that the IL contains Al-silicate without Ge atom incorporation. A well-behaved CV behavior with no hysteresis shows the absence of Ge pileup or Ge segregation at the gate stack/SiGe interface.  相似文献   

10.
Normally-off GaN-MOSFETs with Al2O3 gate dielectric have been fabricated and characterized. The Al2O3 layer is deposited by ALD and annealed under various temperatures. The saturation drain current of 330 mA/mm and the maximum transconductance of 32 mS/mm in the saturation region are not significantly modified after annealing. The subthreshold slope and the low-field mobility value are improved from 642 to 347 mV/dec and from 50 to 55 cm2 V−1 s−1, respectively. The ID-VG curve shows hysteresis due to oxide trapped charge in the Al2O3 before annealing. The amount of hysteresis reduces with the increase of annealing temperature up to 750 °C. The Al2O3 layer starts to crystallize at a temperature of 850 °C and its insulating property deteriorates.  相似文献   

11.
We demonstrate a new flexible metal-insulator-metal capacitor using 9.5-nm-thick ZrO2 film on a plastic polyimide substrate based on a simple and low-cost sol-gel precursor spin-coating process. The surface morphology of the ZrO2 film was investigated using scan electron microscope and atomic force microscope. The as-deposited ZrO2 film under suitable treatment of oxygen (O2) plasma and then subsequent annealing at 250 °C exhibits superior low leakage current density of 9.0 × 10−9 A/cm2 at applied voltage of 5 V and maximum capacitance density of 13.3 fF/μm2 at 1 MHz. The as-deposited sol-gel film was completely oxidized when we employed O2 plasma at relatively low temperature and power (30 W), hence enhancing the electrical performance of the capacitor. The shift (Zr 3d from 184.1 eV to 184.64 eV) in X-ray photoelectron spectroscopy of the binding energy of the electrons towards higher binding energy; clearly indicates that the O2 plasma reaction was most effective process for the complete oxidation of the sol-gel precursor at relatively low processing temperature.  相似文献   

12.
High permittivity (high-k) gate dielectrics were fabricated using the plasma oxidation of Hf metal/SiO2/Si followed by the post-deposition annealing (PDA), which induced a solid-phase reaction between HfOx and SiO2. The oxidation time and PDA temperature affected the equivalent oxide thickness (EOT) and the leakage current density of the high-k dielectric films. The interfacial structure of the high-k dielectric film/Si was transformed from HfOx/SiO2/Si to HfSixOy/Si after the PDA, which led to a reduction in EOT to 1.15 nm due to a decrease in the thickness of SiO2. These high-k dielectric film structures were investigated by X-ray photoelectron spectroscopy. The leakage current density of high-k dielectric film was approximately four orders of magnitude lower than that of SiO2.  相似文献   

13.
The effect of various electrodes (Al, W, TiN) deposited by evaporation (Al) and sputtering (W, TiN) on the electrical characteristics of thermal thin film (15-35 nm) Ta2O5 capacitors has been investigated. The absolute level of leakage currents, breakdown fields, mechanism of conductivity, dielectric constant values are discussed in the terms of possible reactions between Ta2O5 and electrode material as well as electrode deposition process-induced defects acting as electrically active centers. The dielectric constant values are in the range 12-26 in dependence on both Ta2O5 thickness and gate material. The results show that during deposition of TiN and Al a reaction that worsens the properties of Ta2O5 occurs while there is not an indication for detectable reduction of Ta2O5 when top electrode is W, and the leakage current is 5-7 orders of magnitude lower as compared to Al and TiN-electroded capacitors. The high level of leakage current for TiN and Al gate capacitors are related to the radiation defects generated in Ta2O5 during sputtering of TiN, and damaged interface at the electrode due to a reaction between Al and Ta2O5, respectively. It is demonstrated that the quality of the top electrode affects the electrical characteristics of the capacitors and the sputtered W is found to be the best. The sputtered W gate provides Ta2O5 capacitors with a good quality: the current density <7 × 10−10 A/cm2 at 1 V (0.7 MV/cm, 15 nm thick Ta2O5). W deposition is not accompanied by an introduction of a detectable damage leading to a change of the properties of the initial as-grown Ta2O5 as in the case of TiN electrode. Damage introduced during TiN sputtering is responsible for current deterioration (high leakage current) and poor breakdown characteristics. It is concluded that the sputtered W top electrode is a good candidate as a top electrode of storage capacitors in dynamic random access memories giving a stable contact with Ta2O5, but sputtering technique is less suitable (favorable) for deposition of TiN as a metal electrode due to the introduction of radiation defects causing both deterioration of leakage current and poor breakdown characteristics.  相似文献   

14.
ZrO2 thin films with a smooth surface were synthesized on silicon by atomic vapor deposition™ using Zr[OC(CH3)3]4 as precursor. The maximum growth rate (7 nm min−1) and strongest crystalline phase were obtained at 400 °C. The increase of the deposition temperature reduced the deposition rate to 0.5 nm min−1 and changed the crystalline ZrO2 phase from cubic/tetragonal to monoclinic. These films showed no enhancement of the dominating monoclinic phase by annealing. The values of the dielectric constant (up to 32) and leakage current density (down to 1.2×10−6 A cm−2 at 1×106 V cm−1) varied depending on the deposition temperature and film thickness. The midgap density of interface states was Nit=5×1011 eV−1 cm−2. The leakage current and the density of interface states were lowered by the annealing to 10−7 A cm−2 at 1×106 V cm−1 and to 1010 eV−1 cm−2, respectively. However, this also led to a decrease of the dielectric constant.  相似文献   

15.
The etching mechanism of ZrO2 thin films in BCl3/Ar plasma was investigated using a combination of experimental and modeling methods. It was found that an increase in the Ar mixing ratio causes the non-monotonic behavior of the ZrO2 etch rate which reaches a maximum of 41.4 nm/min at about 30-35% Ar. Langmuir probe measurements and plasma modeling indicated the noticeable influence of a BCl3/Ar mixture composition on plasma parameters and active species kinetics that results in non-linear changes of both densities and fluxes for Cl, BCl2 and . From the model-based analysis of surface kinetics, it was shown that the non-monotonic behavior of the ZrO2 etch rate can be associated with the concurrence of chemical and physical pathways in ion-assisted chemical reaction.  相似文献   

16.
Two kinds of Zr-rich Zr-aluminate films for high-κ gate dielectric applications with the nominal composition of (ZrO2)0.8(Al2O3)0.2 and (ZrO2)0.9(Al2O3)0.1, were deposited on n-type silicon wafer by pulsed laser deposition (PLD) technique at different deposition conditions. X-ray diffraction (XRD) reveals that the (ZrO2)0.8(Al2O3)0.2 film could remain amorphous after being rapid thermal annealed (RTA) at the temperature above 800 °C, while the other one displays some crystalline peaks at 700 °C. The energy gap calculated from optical transmittance spectrum of (ZrO2)0.8(Al2O3)0.2 film on quartz is about 6.0 eV. Sputtering depth profile of X-ray photoelectron spectroscopy and Auger electron spectroscopy indicate that a Zr-Si-O interfacial layer was formed at the near surface of the silicon substrate. The dielectric constant of the (ZrO2)0.8(Al2 O3)0.2 film has been determined to be 22.1 by measuring a Pt/(ZrO2)0.8(Al2 O3)0.2/Pt MIM structure. An EOT of 1.76 nm with a leakage current density of 51.5 mA/cm2 at 1 V gate voltage for the film deposited in N2 were obtained. Two different pre-treatments of Si substrates prior to depositions were also carried out and compared. The results indicate that a surface-nitrided Si substrate can lead to a lower leakage current density. The amorphous Zr-rich Zr-aluminate films fabricated by PLD have promising structure and dielectric properties required for a candidate material for high-κ gate dielectric applications.  相似文献   

17.
电子束辐照下的石墨烯上的原子层沉积Al2O3介质层   总被引:1,自引:1,他引:0  
为了研究石墨烯与高k介质的结合,使用原子层沉积氧化铝在石墨衬底上。沉积前使用电子束辐照,观测到了氧化铝明显改善的形貌。归因于电子束辐照过程中的石墨层的无定形变化过程。  相似文献   

18.
The microwave dielectric properties of (1 − x)CaTiO3xNd(Mg1/2Ti1/2)O3 (0.1  x  1.0) ceramics prepared by the conventional solid state method have been investigated. The system forms a solid solution throughout the entire compositional range. The dielectric constant decreases from 152 to 27 as x varies from 0.1 to 1.0. In the (1 − x)CaTiO3xNd(Mg1/2Ti1/2)O3 system, the microwave dielectric properties can be effectively controlled by varying the x value. At 1400 °C, 0.1CaTiO3–0.9Nd(Mg1/2Ti1/2)O3 has a dielectric constant (εr) of 42, a Q × f value of 35 000 GHz and a temperature coefficient of resonant frequency (τf) of −10 ppm/°C. As the content of Nd(Mg1/2Ti1/2)O3 increases, the highest Q × f value of 43 000 GHz for x = 0.9 is achieved at the sintering temperature 1500 °C.  相似文献   

19.
This work investigates the Cu diffusion in SiCOH low dielectric constant films treated by O2 plasma. By capacitance–voltage and current-voltage measurement, and thermal stress analysis, it is found that the O2 plasma surface treatment of SiCOH films can lead to the decrease of flatband voltage shift ΔVFB, the increase of activation energy Ea, and the decrease of leakage current. The small ΔVFB and lower leakage current indicate the weak Cu diffusion. The increase of active energy means the reducing of fast Cu ions surface diffusion through the interconnected pores structure of the film. Hence, the Cu diffusion in SiCOH films can be reduced by O2 plasma treatment. By FTIR and AFM analysis on the bonding configuration and microstructure, the reduce of Cu diffusion is related to the increase of Si-O cages and networks, which makes more open pores sealed at the surface of SiCOH films.  相似文献   

20.
The effect of the oxidation temperature (673-873 K) on the microstructural and electrical properties of thermal Ta2O5 thin films on Si has been studied. Auger electron spectroscopy and X-ray photoelectron spectroscopy results revealed that the films are non-stoichiometric in the depth; an interfacial transition layer between tantalum oxide and Si substrate, containing presumably SiO2 was detected. It has been found by X-ray diffraction that the amorphous state of Ta2O5 depends on both the oxidation temperature and the thickness of the films—the combination of high oxidation temperature (>823 K) and thickness smaller than 50 nm is critical for the appearance of a crystal phase. The Ta2O5 layers crystallize to the monoclinic phase and the temperature of the phase transition is between 773 and 823 K for the thinner layers (<50 nm) and very close to 873 K for the thicker ones. The electrical characterization (current/voltage; capacitance/voltage) reveals that the optimal oxidation temperature for achieving the highest dielectric constant (∼32) and the lowest leakage current (10−8 A/cm2 at 1 MV/cm applied field) is 873 K. The results imply that the poor oxidation related defects are rather the dominant factor in the leakage current than the crystallization effects.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号