首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Plasma ashing and etching integration steps on porous ultra low-k (ULK) have been investigated and are found to damage the porous dielectric structural and electrical properties, leading to weak performance and reliability. In order to overcome these integration issues, an ULK restoration step is proposed. This work discuss the effect of hexamethyldisilazane (HMDS: (CH3)3-Si-NH-Si-(CH3)3) based treatment performed on a porous ULK material. The treatment reveals a beneficial effect on the sidewalls restoration as well as a gain in the IMD electrical performances after integration.  相似文献   

2.
For 45 nm and beyond microelectronics technology nodes, the integration of porous low dielectric constant (low-k) materials is now required to reach integrated dielectric constant values lower than 2.7. However, porous low-k materials have lower mechanical strength in comparison with traditional dense materials and are also affected by chemical diffusion through the interconnected porosity during the various integration processes. Different types of plasma post-treatments which lead to surface modification of the porous low-k material with possible formation of a top surface layer, change of surface structure and “pore sealing” effect were applied. Highly sensitive instruments for mechanical investigation of thin layers, such as the Ultra Nano Hardness Tester (UNHT) and Nano Scratch Tester (NST) were applied for characterization of the effect of the plasma post-treatments on the mechanical behavior of a porous low-k material. Preliminary results are presented and discussed in this paper.  相似文献   

3.
A unique test structure based on a metal-insulator-semiconductor planar capacitor (Pcap) design was used to investigate several aspects of metal barrier-induced low-k damage. A special term called Effective Damage Thickness was introduced to describe the degree of damage. Ta(N) barrier was deposited on various dielectric films with porosity up to 32%. It has been found that the Effective Damage Thickness increases as the porosity increases. The damage is influenced more by the porosity of low-k films than the film density. Furthermore, the damage was modulated by Ta(N) deposition conditions. More damage was observed when higher target and/or substrate bias power was used, suggesting that the ion energy of the barrier material plays an important role in the low-k damage mechanism. A same degree of damage was observed for Ta barrier as for Ta(N), suggesting that Ta(N) deposition-induced low-k damage was primarily caused by Ta ions not nitrogen. Impact of Ru(Ta) and Cu(Mn) self forming barrier on low-k damage was also investigated. Among all the barriers studied in this work, the Ta-based barriers caused the most damage while the Cu(Mn) self forming barrier had the least damage to the low-k. The atomic masses for Ta, Ru, and Cu are 181, 101, and 64, respectively, corresponding with the observed degree of damage in the low-k material.  相似文献   

4.
In this study, we have prepared surfactant templated mesoporous silica thin films as the ultralow-k dielectrics and a TaNX thin film deposited by plasma enhanced atomic layer chemical vapor deposition (PE-ALCVD) using TaCl5 as the gas precursor was used as the diffusion barrier. Without any surface modification for the dielectric layer, Ta atoms could easily diffuse into the mesoporous layer seriously degrading dielectric properties. O2 and Ar plasmas have been used to modify the surface of the mesoporous dielectric in a high density plasma chemical vapor deposition (HDP-CVD) system, and both of the treatments produced a densified oxide layer a few nanometer thick. According to transmission electron microscopy and Auger electron spectroscopy, the pore sealing treatment could effectively prevent Ta atoms from diffusing into the mesoporous dielectric during the PE-ALCVD process.  相似文献   

5.
The interfacial adhesion energy between metal and porous low-k dielectrics is an important parameter for the reliability study of back-end of line integration. In this work, we have observed the spontaneous film delamination with telephone cord morphology after 130 nm thick Ta was sputtered onto methyl silsesquioxane (MSQ) low-k dielectric. The highly compressive stress inside the Ta film is the driving force for the spontaneous buckling. The adhesion failure was identified to be at Ta/MSQ interface by using focused ion beam and scanning electron microscopy. Pinned circular blister model was applied to fit the buckling morphology. The interfacial adhesion energy was extracted to be 7.90 J/m2 at 87° phase angle. The Cu/MSQ interface was evaluated in a similar fashion by using a stressed overlayer Ta/Cu. The fracture energy was calculated to be 3.34 J/m2 with the similar phase angle. The results suggest that an adhesion promoter between Cu and low-k dielectrics is essential for a mechanically stable structure.  相似文献   

6.
The etching damage on nano-clustering silica (NCS) film due to etching radicals was investigated using a method of radical treatments in RIE plasma. NCS coated-side of the wafer was turned downward and put at 0.65 mm above the wafer stage to investigate only the influence of radicals. Etching radicals, which comes from CF4, diffuse into NCS film and reduce Si-CH3 bonds and Si-CH3 loss is proportional to the amount of diffused fluorine in NCS film. Several Si-CH3 bonds are converted to Si-F bonds then. As a result, the low-k performance is degraded and especially the leakage current heavily increases. We proposed a method for estimating the degree of the sidewall damage due to etching radicals using blanket wafers. The degree of sidewall damage is proportional to the value of CR−0.5, where C is the damage diffusion coefficient, which is derived from Si-CH3 decrement ratio from a radical treatment result and R is the etching rate, which is derived from a RIE treatment result under the same plasma condition. The value of CR−0.5 depends on the etching condition and must be decreased as much as possible in order to reduce the sidewall damage during RIE. For example, lower gas pressure, higher RF power, and higher CF4/Ar gas flow ratio were desirable for the sidewall damage reduction.  相似文献   

7.
All-wet processes are gaining a renewed interest for the removal of post-etch photoresist (PR) in semiconductor manufacturing. However, changes in regulations call for a reduction in the environmental, safety & health (ESH) impact of solvents used. In this work, 9 solvents and about 50 solvent mixtures were evaluated as to their efficiency in dissolving two DUV (193 nm) PR. Selection was performed according to a set of ESH criteria and to solubility properties, as characterized by Hansen solubility parameters (HSP). Most solvents and mixtures were found to dissolve both PR materials in a reasonable time (?10 min) at room temperature, with best solvents requiring less than 30 s. The surprisingly large domain of good solvents in Hansen plots was tentatively attributed to the copolymer character of the PR, in similitude to the swelling behavior of copolymers. Differences in dissolution kinetics were not correlated to HSP and are discussed in terms of solvent molecular size and PR copolymer character.  相似文献   

8.
For the PMD in a next generation memory device, two kinds of newly developed ultra low-k MSQ materials (k < 2.0) are shown to have good thermal stability, up to 600 °C, while the investigated HSQ (k = 2.9) material degraded at temperatures >500 °C. The thermal stability of the low-k MSQ is correlated with the amount of Si-X (X = H or CH3), the ratio of Si-X to Si-O, and the structure of the Si-O bonds. With PE-SiO2 and PE-SiN capping on HSQ, the k-value of  < 3.0 can be maintained up to 800 °C due to Si-H remaining in the film. Similarly, PE-SiC and PE-SiO2 capping increases the k-value degradation onset temperature of the MSQ materials by 50 °C.  相似文献   

9.
The International Technology Roadmap for Semiconductors (ITRS) predicts that by 2010 over one billion transistors will be integrated into one chip [Semiconductor Industry Associations, International Technology Roadmap for Semiconductors, 2004. Available from: <http://public.itrs.net/Files/2004UpdateFinal/2004Update.htm>]. The interconnect system of this one billion transistor chip will provide the required high-speed signal and power to transmit each transistor on the chip. This system will deliver high frequency signals to various circuits, and the parasitic effects associated with interconnect will become evident and cannot be ignored. Small parasitic capacitance (C) between interconnect are required to reduce the crosstalk, power consumption, and RC delay associated with the metal interconnect system. Therefore, interconnect with low dielectric constant (k) materials is required.In this study, hydrogen silsesquioxane (HSQ) thin films prepared under various conditions are employed as the intermetal dielectric and the high frequency characteristics of Al-HSQ system are investigated and compared with those of Al-SiO2 system. The S-parameters of the Al interconnect are measured for insertion loss and crosstalk noise. The interconnect transmission parameters are extracted from the S-parameters. A figure of merit (FOM) is employed to evaluate the characteristics of the Al-HSQ system at high frequencies (100 MHz-20 GHz). It is found that Al interconnect with HSQ films annealed at 400 °C has an insertion loss of 1.64 dB/mm, a coupling of −13.3 2 dB at 20 GHz, and a propagation delay of 0.121 ps/μm, while those of the PECVD SiO2 films are 2.01 dB/mm (insertion loss), −13.40 dB (coupling), and 0.149 ps/μm (propagation delay). The Al-400 °C-annealed-HSQ system has better performance than the Al-SiO2 system does from 100 MHz to 20 GHz. However, specimens with 350 °C-annealed HSQ films or plasma-treated HSQ films exhibit larger insertion losses and higher crosstalk noises than those with PECVD SiO2 films do. Both annealing temperature and O2 plasma treatment of the HSQ films affect the high frequency characteristics of the Al-HSQ system.  相似文献   

10.
Looking onto application of low-k and ultra low-k materials within FEOL, high temperature load is one of the major challenges. But also temperature ranges below standard curing conditions are of special interest, e.g. for integration of transparent low-k materials into optical devices due to their small refractive index. In this work the development of the optical, electrical and structural properties of two spin-on MSQ low-k dielectrics over a low-temperature range has been investigated. Incorporation of porosity due to porogen removal for LK2000 causes a different behaviour of the electrical and optical parameters compared to ACCUGLASS™ within the low-temperature range. Both materials show unstable properties which normalize by getting closer to the standard curing conditions. Hydrophobizity of the surfaces is developing at curing temperatures of 400 °C and higher, what agrees to the lowering of the leakage current density. Optical, electrical and structural parameters fluctuate very sensitive on changing the curing temperature, so usage of those materials within a low-temperature range requires a very stable curing process to achieve reproducible material properties.  相似文献   

11.
For the implementation of copper and low-k materials into a tight pitch damascene interconnect architecture it is important to understand and correctly describe the underlying degradation mechanisms during reliability testing. Based on the understanding solutions can be proposed for avoiding fast degradation. While the physical understanding of electromigration mechanisms is less of a debate, technological challenges towards the fabrication of metal wires/vias able to carry the ever increasing current densities are enormous. Recently a number of novel metallization schemes including ruthenium and its alloys or self-forming barriers were proposed. As a consequence, some of the thermodynamic and kinetic behavior of the system can be modified when compared to the conventional Ta-based metallization. Another important component of the system is the insulating low-k dielectric. When scaling the critical dimensions into 50 nm ½ pitch and beyond, the impact of layout and line edge roughness becomes important. If a double patterning approach is used for printing a tight metal pitch, then misalignment between the different photos will exacerbate the layout induced effects. The choice of dielectric material, test structure design and damascene process steps will contribute on top of these effects. Based on recent understanding we review some aspects of novel metallization schemes and tight pitch copper/low-k interconnects from a reliability standpoint.  相似文献   

12.
We have investigated the characteristics of Ar/O2 plasmas in terms of the photoresist (PR) and low-k material etching using a ferrite-core inductively coupled plasma (ICP) etcher. We found that the O2/(O2+ Ar) gas flow ratio significantly affected the PR etching rate and the PR to low-k material etch selectivity. Fourier transform infrared spectroscopy (FTIR) and HF dipping test indicated that the etching damage to the low-k material decreased with decreasing O2/(O2 + Ar) gas flow ratio.  相似文献   

13.
A 60-μm bond-pad-pitch wire-bonding process was developed using test dies with a SiO2 dielectric layer under aluminium pads, and was then fine-tuned for a low-k device using three types of gold wires with different mechanical properties. Bulk material hardness of the wires were characterised using a wire-bonding machine, the force applied and diameters of squashed free-air balls. It was found that stiffer wires needed higher ultrasonic-generator (USG) power than a softer wire to deform the ball after impact and achieve equivalent ball size and ball shear responses. Longer bond time was also needed for the low-k material than the SiO2 material, to overcome the energy loss due to the compliance of the low-k material. Pad damage on the low-k device was proportional to bulk material hardness. The soft 4N (99.99% purity) wire required lower USG power to achieve the bonding specification, and was the most suitable wire to be used in wire bonding of the low-k device.  相似文献   

14.
The use of low-k materials is essential for improving the quality of integrated circuits. Subsequent process steps may however modify this film to the extent that the final result is unacceptable. Organosilicate-based low-k films, with a nominal k-value of 2.3, were exposed to different post-CMP cleaning plasmas used for copper reduction. The resulting plasma damage was investigated and is reported in this paper. All the studied plasmas increased the density of the low-k film. TOFSIMS and FTIR analyses showed that they all removed CH3 groups from the bulk, leading to water incorporation. The carbon depletion was more pronounced and deeper (100 nm) from a NH3 plasma than from any other investigated plasma. N2 + H2 plasma removed somewhat less carbon from the low-k film (83 nm deep). The N2 plasma removed carbon down to a depth of 60 nm into the film, while a pure H2 plasma removed the least carbon of all the investigated plasmas, to a depth of only 35 nm. The combination of TOFSIMS and XPS indicated the incorporation of a significant amount of N in the films treated with the pure N2 plasma. C-V measurements showed an increase of the dielectric constant, again mostly for the NH3 plasmas. There was an intermediate and approximately equal increase of the dielectric constant for all N2 containing plasmas, and the least increase was for the H2 plasma. This increase of the dielectric constant was caused by the increase of density of the film, incorporation of water, and in the case of the N2 plasma also the incorporation of N. This shows that the presence of N2 in plasma may significantly damage low-k materials, and it should not therefore be treated as a mere carrier gas.  相似文献   

15.
Young’s moduli of porous silica low-k films with cesium (Cs) doping are determined by surface acoustic waves (SAWs) in this study. Four low-k samples doped with 0-30 ppm wt% Cs in the precursor solution are investigated to check the mechanical promotion of the porous silica films. The SAW determination process is performed on these ultra-thin porous films. The detected signals with the signal-to-noise ratio of 50:1 are achieved in our measurements. The signal process with combination of wavelet and FIR filter is proposed to effectively restrain the high and low frequency noises and the “Gibbs effect” of the detected signals. The smooth experimental dispersive curves with frequency range from 20 to 150 MHz, which is qualified for the data fitting process with the theoretical dispersion curves, are obtained for these detected thin low-k films. The determination results show that the mechanical property is improved with the pretreatment of cesium doping, which confirms that the degree of siloxane cross-linkage of the porous silica film is promoted by cesium doping.  相似文献   

16.
This work proposes an extended model that describes the propagation of damage in porous low-k material exposed to a plasma. Recent work has indicated that recombination and diffusion play a more dominant role than VUV light [1], [2], [3], [4] and [5] in oxygen plasma induced damage. Especially at low depths, the radical concentration is determined by the number of radicals that disappear back into the plasma while the final depth of damage is defined by recombination of oxygen atoms. A logarithmic equation has been proposed to describe the behavior as a function of time. In this work this equation is extended to take diffusion into account, next to recombination. The results are in agreement with experimental data and one-dimensional random walk theory calculations.  相似文献   

17.
We demonstrate a replica-molding method for submicron patterning of a low-index sol-gel nanoporous glass for the purpose of fabricating large-area (∼80 cm2) label-free photonic crystal optical biosensors. Scanning electron micrographs show the sol-gel exhibited minimal shrinkage and good substrate adhesion and depict precise and uniform pattern transfer over the fabricated area within the limits of measurement resolution. A unique characterization approach is described in which the photonic crystal optical resonance is used to accurately and quickly characterize the geometrical and material property uniformity over a large area. Uniformity within 1% was measured over an 80 cm2 area. We suggest that this robust method is an excellent approach for photonic crystal sensor fabrication, and may also find applications in integrated optics and electronics.  相似文献   

18.
We have investigated the effects of fluoride residue on the thermal stability of a Cu/barrier metal (BM)/porous low-k film (k < 2.3) structure. We confirmed that the Cu agglomerated more on a BM/inter layer dielectric (ILD) with a fluoride residue. To consider the effect of fluoride residue on Cu agglomeration, the structural state at the Cu/BM interface was evaluated with a cross-section transmission electron microscope (TEM) and atomic force microscope (AFM). And the chemical bonding state at the Cu/BM interface was evaluated with the interface peeling-off method and X-ray photoelectron spectroscopy (XPS). Moreover, we confirmed the oxidation of Cu with fluoride in accelerated conditions to clarify the effect of fluoride on Cu. Our experiments suggested that the fluoride residue led to the formation of a metal fluoride, and this accelerated the Cu agglomeration accompanying an increase in Cu oxidation.  相似文献   

19.
The degradation of reliability for intra-level voltage-breakdown in the 45 nm generation node has become an increasingly important issue with the introduction of porous low-k dielectrics. The dominant failure mechanism for lower voltage ramping-up to dielectric breakdown and higher leakage current was that more electrons easily transported through the percolation path in intra-level porous low-k interconnections damaged from HF corrosion. An optimal ultraviolet curing process and a less NH3 plasma pre-treatment on porous low-k dielectrics before the SiCN capping layer are developed to improve performance in both of these cases. The stiff configuration of the reconstruction of Si-O network structures and less HF corrosion is expected to have high tolerance to electrical failure. As a result, the proposed model of this failure facilitates the understanding of the reliability issue for Cu/porous low-k interconnections in back-end of line (BEOL) beyond 45 nm nodes.  相似文献   

20.
We review test vehicles and methods that are commonly used for capacitance measurements of low-k films and the general procedure for k-value extractions. We demonstrate that a considerable loss of accuracy may occur if metal-insulator-semiconductor (MIS) planar capacitors are used in high frequency (HF) capacitance-voltage (CV) measurements leading to significant underestimation of the k-value. We show that the lack of accuracy is due to parasitic impedance at the backside connection with the Si substrate and we provide a model. The effect of the parasitic impedance can be minimized by reducing the area of the gate electrode. Alternatively, samples can be provided with an ohmic back contact by means of one of the practical fabrication methods that are described. Quasi-static (Q-S) CV measurements did not exhibit any variation related to backside connection. However, we show that Q-S CV measurements loose accuracy for plasma-damaged low-k films because of increased dielectric leakage. Finally, issues related to capacitance measurements in dry atmosphere are addressed. We show that long (∼hours) transients can take place for plasma-damaged low-k films because of the slow release of water from the material underneath the metal gate, which acts as a cap. As a consequence, extracted k-value can significantly depend on sample resident time in the measurement chamber and on gate dimensions.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号